Intel承認在EUV光刻上犯錯:當年太自信


最近幾年,臺積電及三星在半導體工藝上超越Intel,後者在14nm節點之前都是全球最先進的半導體公司,然而在10nm節點面臨各種困難,給對手可乘之機。Intel在這個過程中是如何被超越的?CEO基辛格日前接受采訪,特別提到Intel在EUV光刻工藝上的選擇錯誤。

在EUV技術研發上,Intel是全球重要推手,ASML研發EUV光刻機也得到Intel的不少幫助,但是Intel在10nm節點沒有選擇EUV光刻,而是嘗試新的SAQP四重曝光技術,它們的目標是不依賴EUV光刻機也能生產先進工藝。

基辛格表示,當初這個目標是很好的,然而SAQP曝光工藝非常復雜,成本高,隨著時間的推移,Intel站在EUV錯誤的一邊,基辛格表示當時應該至少有一個並行的EUV戰略才對。

基辛格所說的這個事其實就是過去幾年中Intel在10nm工藝上多次跳票的關鍵,這兩年才算是搞定10nm工藝的量產,現在改名為Intel 7工藝。

至於EUV工藝,Intel現在也重視起來,跟ASML的合作很好,今年底量產的Intel 4工藝就是Intel首個EUV工藝,用於首發量產14代酷睿Meteor Lake,明年上市。


相關推薦

2022-06-28

統,並到2025年實現(最多)90臺工具的計劃。ASML同時還承認, 90臺可能超過2025年的實際需求,不過他們將其描述為為滿足2030年1萬億美元半導體行業需求所做出的巨大努力。按照之前的說法,ASML正在研發新款光刻機,價值高達4

2022-11-07

在EUV光刻工藝上,Intel此前承認他們過去翻錯,讓臺積電、三星搶先,畢竟Intel是最早研發EUV工藝的半導體公司之一,現在Intel可以追上來,今年底就首次使用EUV的Intel 4工藝就會規模量產。Intel 4就是之前的Intel 7nm工藝,也是Intel

2023-02-12

發,Intel 4會在下半年的14代酷睿上首發,還會首次用上EUV光刻工藝。Intel 3是Intel 4的改良版,Intel 20A及之後的18A則是重大升級,相當於友商的2nm、1.8nm節點,將在2024年上半年及下半年量產,2025年將重新奪回半導體工藝的領導地位

2024-08-07

已成功接收全球第二臺價值3.83億美元的High NA EUV(極紫外光刻機)。High NA EUV光刻機是目前世界上最先進的芯片制造設備之一,其分辨率達到8納米,能夠顯著提升芯片的晶體管密度和性能,是實現2nm以下先進制程大規模量產的必

2023-05-08

冠上的明珠。但最近十年,不斷挑戰物理學極限的半導體光刻機,大有挑戰明珠之王的趨勢。航發是在極端高溫高壓下挑戰材料和能量密度的極限,而光刻是在比頭發絲還細千倍的地方挑戰激光波長和量子隧穿的極限。更難得的

2022-08-10

在業內,比Intel、臺積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。因為離得近,ASML的原型試做機,往往在完工後就第一時間送交I

2024-02-08

Intel已於日前接受ASML的第一臺新一代高NAEUV光刻機,但是臺積電一直不為所動,可能要到1nm工藝時代才會跟進。Intel計劃將高NAEUV光刻機用於Intel18A後的制程節點,也就是超過1.8nm,時間大概在2026-2027年。Intel此前公佈的路線圖上,

2024-05-11

“四年五個制程節點”的目標,Intel7工藝、采用EUV極紫外光刻技術的Intel4和Intel3均已實現大規模量產。其中,Intel 3作為升級版,應用於服務器端的Sierra Forest、Granite Rapids,將在今年陸續發佈,其中前者首次采用純E核設計,最多2

2024-03-05

視頻,堪稱史上最貴:他們從ASML拿到的全球第一臺高NAEUV光刻機,已經開始在美國俄勒岡州希爾斯伯勒附近的工廠內安裝。這臺型號為TwinscanEXE:5000的光刻機著實是個龐然大物,運輸過程中動用250個貨箱,總重約150噸,先用飛機

2022-09-28

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球隻有ASML公司能生產,現在NA0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的

2022-07-20

額69.77億歐元環比增長21%。本季度中,ASML公司出貨12臺EUV光刻機,比Q1季度的3臺大幅增長,之前因為種種原因限制出貨。值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得重大突破,已經收到來自供應商的物鏡

2022-10-20

麥)正抓緊研制其下一代高NA(0.55數值孔徑)的EUV極紫外光刻機,在發佈最新財報期間,AMSL透露,其存量EUV客戶均訂購新一代設備。具體來說,在Intel和臺積電之後,三星、SK海力士、美光等也下單高NAEUV光刻機。高NA EUV光刻機

2024-02-17

ASML已經向Intel交付第一臺高NAEUV極紫外光刻機,將用於2nm工藝以下芯片的制造,臺積電、三星未來也會陸續接收,可直達1nm工藝左右。那麼之後呢?消息稱,ASML正在研究下一代HyperNA(超級NA)光刻機,繼續延續摩爾定律。ASML第一代

2024-05-08

ASML最先進EUV光刻機今年訂單已經被Intel包攬,其單臺售價超過25億元。據悉,ASML截至明年上半年最先進EUV設備的訂單已經由英特爾承包,而今年計劃生產的五套設備也將全部運給這傢美國芯片制造商。按照消息人士的說法,由於