Intel將沖擊1nm工藝:用上下一代EUV光刻機


作為摩爾定律的提出者,Intel也是最堅定的摩爾定律捍衛者,多次表示半導體工藝還會繼續提升下去,在現有4年掌握5代CPU工藝之後,Intel還啟動未來兩代的CPU工藝研發,目標逼近1nm。

Intel的5代CPU工藝分別是Intel 7、Intel 4、Intel 3、Intel 20A及Intel 18A,其中Intel 7在2021年的12代酷睿上首發,Intel 4會在下半年的14代酷睿上首發,還會首次用上EUV光刻工藝。

Intel 3是Intel 4的改良版,Intel 20A及之後的18A則是重大升級,相當於友商的2nm、1.8nm節點,將在2024年上半年及下半年量產,2025年將重新奪回半導體工藝的領導地位。

再往後呢?Intel目前的路線圖上沒有提到新的工藝,不過最新消息稱Intel已經啟動未來兩代工藝的定義及研發,但沒有明確的信息。

根據IMEC之前公佈的芯片工藝路線圖來看,2nm工藝之後是14A,也就是1.4nm工藝,預計2026年問世,再往後就是A10工藝,也就是1nm,2028年問世。

Intel要研發的未來兩代工藝應該也是1.4nm、1nm級別的,具體的命名還要等官方確定,畢竟時間還早。

2nm以後的工藝還要升級裝備,當前的EUV光刻機屆時效率也不高,ASML預計會在2026年推出High NA技術的下一代EUV光刻機EXE:5000系列,將NA指標從當前的0.33提升到0.55,進一步提升光刻分辨率。

不過下代EUV光刻機的成本也會大漲,當前售價在1.5億美元左右,下代價格輕松超過4億美元。


相關推薦

2024-02-17

可直達1nm工藝左右。那麼之後呢?消息稱,ASML正在研究下一代HyperNA(超級NA)光刻機,繼續延續摩爾定律。ASML第一代Low NA EUV光刻機隻有0.33 NA(孔徑數值),臨界尺寸(CD)為13.5nm,最小金屬間距為26nm,單次曝光下的內連接間距約為25-30

2024-02-08

代高NAEUV光刻機,但是臺積電一直不為所動,可能要到1nm工藝時代才會跟進。Intel計劃將高NAEUV光刻機用於Intel18A後的制程節點,也就是超過1.8nm,時間大概在2026-2027年。Intel此前公佈的路線圖上,18A之後已經安排三個新的制程節點

2023-06-18

前隻有ASML能制造,單臺售價10億人民幣,今年底還會迎來下一代EUV光刻機,價格也會大漲。光刻機的分辨率越高,越有利於制造更小的晶體管,而分辨率也跟光刻機物鏡的NA數值孔徑有直接關系,目前的EUV光刻機是NA=0.33技術的,

2023-12-02

金屬離子嵌入石墨烯中可以改善互連的電性能,使其成為下一代互連的有前途的材料。IMEC則認為石墨烯和金屬的混合結構,非常有希望成為1nm的候選者。此外,IMEC也在考慮釕 (Ru)作為銅互連的替代品。04改變器件架構如上文提到

2022-06-28

對於芯片廠商而言,光刻機顯得至關重要,而ASML也在積極佈局新的技術。據外媒報道稱,截至2022年第一季度,ASML已出貨136個EUV系統,約曝光7000萬個晶圓已曝光。按照官方的說法,新型號的EUV光刻機系統NXE:3600D將能達到93%的可

2022-10-14

最近幾年,臺積電及三星在半導體工藝上超越Intel,後者在14nm節點之前都是全球最先進的半導體公司,然而在10nm節點面臨各種困難,給對手可乘之機。Intel在這個過程中是如何被超越的?CEO基辛格日前接受采訪,特別提到Intel在E

2022-09-28

3孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的NA數值孔徑越大越好,現在NA 0.33孔徑的EUV光刻機能夠量產3nm、2nm工藝,再往後就需要NA 0.55孔徑的

2024-08-07

,是IntelIDM 2.0戰略的一部分,該戰略旨在通過技術創新和工藝提升,重塑Intel在全球半導體產業的領導地位。Intel計劃在2027年前將High NA EUV技術用於商業生產,並在2030年前實現代工業務的收支平衡。

2022-07-20

種原因限制出貨。值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得重大突破,已經收到來自供應商的物鏡、光源及工作臺,這也是光刻機的三大核心部件,關系著光刻機的正常運行。根據ASML的消息,相比目

2023-05-08

片巨頭合建的EUV光刻聯盟裡,ASML還隻是個小配角。這時下一代光刻技術發展會怎樣,整個半導體屆沒有人知道。在轉折關頭,ASML決定另辟蹊徑,報價16億美元收購市值隻有10億的矽谷集團(SVG)。曾經輝煌的SVG當時在光刻機的市場

2024-05-11

這幾年,Intel以空前的力度推進先進制程工藝,希望以最快的速度反超臺積電,重奪領先地位,現在又重申這一路線,尤其是意欲通過未來的14A1.4nm級工藝,在未來鞏固自己的領先地位。目前,Intel正在按計劃實現其“四年五個制

2022-10-20

ASML(荷蘭阿斯麥)正抓緊研制其下一代高NA(0.55數值孔徑)的EUV極紫外光刻機,在發佈最新財報期間,AMSL透露,其存量EUV客戶均訂購新一代設備。具體來說,在Intel和臺積電之後,三星、SK海力士、美光等也下單高NAEUV光刻機。

2022-06-27

時此刻,臺積電、三星電子兩傢芯片大廠不約而同的尋求下一代EUV光刻機,意味著現在“2nm技術戰”已經打響。“到瞭未來的技術節點,間距微縮將減緩,矽晶體管似乎隻能安全地微縮至2nm,而在那之後,我們可能就會開始使用

2022-11-07

在EUV光刻工藝上,Intel此前承認他們過去翻錯,讓臺積電、三星搶先,畢竟Intel是最早研發EUV工藝的半導體公司之一,現在Intel可以追上來,今年底就首次使用EUV的Intel 4工藝就會規模量產。Intel 4就是之前的Intel 7nm工藝,也是Intel