ASML全新光刻機準備中:Intel提前鎖定 沖擊2nm工藝


對於芯片廠商而言,光刻機顯得至關重要,而ASML也在積極佈局新的技術。據外媒報道稱,截至2022年第一季度,ASML已出貨136個EUV系統,約曝光7000萬個晶圓已曝光。按照官方的說法,新型號的EUV光刻機系統NXE:3600D將能達到93%的可用性,這將讓其進一步接近DUV光刻機(95%的可用性)。

數據顯示,NXE:3600D系統每小時可生產160個晶圓 (wph),速度為30mJ/cm,這比 NXE:3400C高18%。二正在開發的 NXE:3800E系統最初將以30mJ/cm的速度提供大過195wph的產能,並在吞吐量升級後達到220wph。

據介紹,NXE:3600E 將在像差、重疊和吞吐量方面進行漸進式光學改進,而在0.33 NA的EUV光刻機領域,ASML路線圖包括到2025年左右推出吞吐量約為220wph的NXE:4000F。

對於0.55 NA的光刻機,需要更新的不但是其光刻機系統。同時還需要在光掩模、光刻膠疊層和圖案轉移工藝等方面齊頭並進,才能讓新設備應用成為可能。

根據ASML 在一季度財務會議上披露的數據,公司的目標是在2022年出貨55臺EUV系統,並到2025年實現(最多)90臺工具的計劃。ASML同時還承認, 90臺可能超過2025年的實際需求,不過他們將其描述為為滿足2030年1萬億美元半導體行業需求所做出的巨大努力。

按照之前的說法,ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。

這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。


相關推薦

2023-02-12

發,Intel 4會在下半年的14代酷睿上首發,還會首次用上EUV光刻工藝。Intel 3是Intel 4的改良版,Intel 20A及之後的18A則是重大升級,相當於友商的2nm、1.8nm節點,將在2024年上半年及下半年量產,2025年將重新奪回半導體工藝的領導地位

2024-02-17

ASML已經向Intel交付第一臺高NAEUV極紫外光刻機,將用於2nm工藝以下芯片的制造,臺積電、三星未來也會陸續接收,可直達1nm工藝左右。那麼之後呢?消息稱,ASML正在研究下一代HyperNA(超級NA)光刻機,繼續延續摩爾定律。ASML第一代

2022-06-27

有阿斯麥(ASML)最先進的高數值孔徑極紫外(high-NAEUV)光刻機,用於生產納米片晶體管(GAAFET)架構的2nm(N2)芯片,預計在2025年量產。與此同時,6月初被美國總統拜登亞洲行接見後,緊接著,韓國三星電子副會長李在鎔又馬

2023-04-19

去兩年,伴隨芯片的短缺、對華禁令等一系列重大事件,光刻機從一種不為人知的先進制造設備,一躍成為大眾的新聞熱點。在這當中,荷蘭光刻機廠商ASML幾乎是繞不開的存在。原因並不復雜,因為 ASML 是全球唯一一傢有能力

2022-08-10

在業內,比Intel、臺積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。因為離得近,ASML的原型試做機,往往在完工後就第一時間送交I

2023-06-18

快科技6月17日消息,在半導體工藝進入7nm節點之後,EUV光刻機是少不的關鍵設備,目前隻有ASML能制造,單臺售價10億人民幣,今年底還會迎來下一代EUV光刻機,價格也會大漲。光刻機的分辨率越高,越有利於制造更小的晶體管,

2022-07-20

額69.77億歐元環比增長21%。本季度中,ASML公司出貨12臺EUV光刻機,比Q1季度的3臺大幅增長,之前因為種種原因限制出貨。值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得重大突破,已經收到來自供應商的物鏡

2022-09-28

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球隻有ASML公司能生產,現在NA0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的

2024-03-17

本周,ASML交付第三代極紫外(EUV)光刻工具TwinscanNXE:3800E,其投影鏡頭的數值孔徑為0.33。與現有的TwinscanNXE:3600D機器相比,該系統顯著提高性能。它專為制造采用前沿技術的芯片而設計,包括未來幾年的3nm、2nm和小節點。ASML Twinscan

2024-02-08

Intel已於日前接受ASML的第一臺新一代高NAEUV光刻機,但是臺積電一直不為所動,可能要到1nm工藝時代才會跟進。Intel計劃將高NAEUV光刻機用於Intel18A後的制程節點,也就是超過1.8nm,時間大概在2026-2027年。Intel此前公佈的路線圖上,

2022-10-20

麥)正抓緊研制其下一代高NA(0.55數值孔徑)的EUV極紫外光刻機,在發佈最新財報期間,AMSL透露,其存量EUV客戶均訂購新一代設備。具體來說,在Intel和臺積電之後,三星、SK海力士、美光等也下單高NAEUV光刻機。高NA EUV光刻機

2023-12-02

r Lake處理器和Granite Rapids,下一步將是Intel 3,它將使用EUV光刻來實現更大的模塊化,PPW增加到18%。而英特爾最新的工藝是20A和18A。Intel 20A本來被稱為Intel 1,但是由於英特爾想要“更好的喚起下一個創新時代”,將其命名為20A 。

2024-03-25

造出2nm級工藝芯片,ASML已經打造0.55 NA的新一代EUV極紫外光刻機,價格高達驚人的4億美元左右。極其復雜的現代光刻機的一小部分在受到外部條件嚴重制約的情況下,以華為為代表的國內半導體企業已經不可能接觸到這些尖端工

2024-07-01

臺積電正全力以赴,加速安裝對2nm工藝量產至關重要的EUV光刻機。為滿足這一高端生產需求,臺積電計劃在今明兩年接收超過60臺EUV光刻機,預計投資總額將超過123億美元(折合人民幣約894億元)。隨著ASML(阿斯麥)公司產能的