光刻機客戶砍單 不可一世的ASML急


過去兩年,伴隨芯片的短缺、對華禁令等一系列重大事件,光刻機從一種不為人知的先進制造設備,一躍成為大眾的新聞熱點。在這當中,荷蘭光刻機廠商ASML幾乎是繞不開的存在。

原因並不復雜,因為 ASML 是全球唯一一傢有能力制造先進 EUV 光刻機的公司,臺積電、三星、英特爾想要蘋果、高通等芯片設計公司制造先進制程工藝的芯片,就必須使用該公司的 EUV 光刻機。而在實際情況中,EUV 光刻機的結構之復雜、精密度之高,都讓它的量產極低,常年供不應求,即便在過去兩年不斷提高產量,ASML 還是沒有滿足芯片行業的需求。

去年 10 月我們在文章中寫道:

(6 月)三星電子副董事長李在鎔開啟自己的訪歐之旅,最關鍵的還是荷蘭,不僅與多名 ASML 高層舉行會談,轉頭還向荷蘭總理要起支持:千萬確保 ASML 穩定供應 EUV 光刻機。

但消費電子市場的寒氣從去年年初開始,最終還是傳到“永遠缺貨不夠賣”的 ASML 身上。4 月 17 日,接近供應鏈的媒體 DigiTimes 報道稱,臺積電、三星和英特爾瘋搶 EUV 光刻機的熱度已經降溫,其中最大客戶臺積電開始砍掉部分 ASML 的 EUV 光刻機訂單,傳言比例達到 40%。


臺積電,圖/Flickr

這件事可以說是情理之中,意料之外。首先從智能手機、PC 市場全面疲軟開始,需求的萎縮就會不斷向上遊供應鏈傳遞,隻不過半導體行業的周期和供應鏈都很長,傳遞的速度相對較慢。ASML 全球高級副總裁、中國區總裁沈波在去年的一次媒體開放日也說過:

相比產業鏈裡其他供應商,半導體設備的一個特點是供貨周期相對偏長。需求往下走的時候,我們差不多是最後一個感受到;需求往上走,我們則是第一個感受。

其次,發生在 ASML 身上的砍單消息,代表代工廠也沒有看到行業復蘇、需求恢復增長的拐點,故而選擇削減關鍵設備訂單這種偏保守的做法,以應對大環境的不確定性。換言之,全球芯片需求的衰退,大概率還要繼續一段時間。

但以上的前提還是基於之前的市場現狀,未來一年仍然存在不少潛在的變局。

“不可理喻”的英特爾

4 月 13 日,英特爾宣佈旗下代工服務部門(IFS)將與 ARM 進行合作,基於 18A(1.8nm)工藝制造用於移動設備的 SoC。兩傢公司計劃,先期重點放在移動設備上,之後會擴大到汽車、物聯網、數據中心等領域,明顯看中臺積電占有優勢的市場。

考慮到英特爾的路線圖,18A 工藝的量產計劃在 2025 年,與 ARM 合作的收獲期至少要到那之後,但英特爾對 EUV 光刻機的需求,又該加上一筆。

不同於臺積電和三星,自從帕特·基辛格(Pat Gelsinger)上任 CEO 以來推行 IDM 2.0,英特爾在制程工藝上的推進就越發激進。相應的,英特爾對 EUV 光刻機的需求也越來越大,相對另外兩傢也更加迫切。


帕特·基辛格,圖/英特爾

此外,由於臺積電最先進工藝的產能通常比較緊張、價格以及芯片行業的逆全球化進程等因素,英特爾先進工藝的需求也在推進,包括 Intel 3、20A 都拿到客戶訂單。而在三傢中,英特爾由於代工業務的起點低,也一直在爭取更多的客戶訂單,變數反而最大。

當然,另一方面也是因為英特爾過去曾經在 EUV 光刻機上判斷失誤。基辛格在接受采訪時就說過,英特爾曾是 EUV 技術研發的重要推手,包括 ASML 研發 EUV 光刻機也得到英特爾的不少幫助,但是在 10nm 節點上英特爾並沒有選擇 EUV 光刻路線,而是嘗試 SAQP 四重曝光技術生產先進工藝。

之後的故事後來我們都知道——英特爾在 14nm 節點上“優化”好幾代,遲遲沒有實現 10nm,最終也選擇 EUV 光刻路線。但就 EUV 光刻機的數量而言,時間決定英特爾沒有多少“儲備”。


EUV 光刻機,圖/ASML

儲備少、需求大,所以盡管大傢都在面對下遊的需求萎靡,但英特爾還是選擇加大購買力度,包括去年搶 ASML 新光刻機 High-NA EUV 的首發訂單,也是為保證 18A 節點的順利推進。(High-NA 即高數值孔徑,從當前的 0.33 提升到 0.55,從而允許更小的工藝制程和更高的生產效率。)

而按照 ASML CEO Peter Wennink 的說法,單臺 High-NA EUV 的價格在 3 億到 3.5 億歐元(約合人民幣 22.6 億元到 26.4 億元)之間。

AI 戰爭,開啟軍備競賽

與英特爾不同,ChatGPT 的爆火出乎所有人的意料,如果說去年年末推出第一波熱潮還存在質疑,到今年已經在全球范圍內掀起一場 AI 戰爭。

從國外的 OpenAI(和微軟)、Google、亞馬遜、Facebook、X.AI(馬斯克剛成立)等,到國內的百度、阿裡、騰訊、商湯、光年之外(美團聯合創始人王慧文成立)等,都在進入 AI 大模型的戰場。而在主流視野之外,還有更多尚不知名的大模型,比如開源的 BLOOM、復旦的 MOSS、斯坦福的 Alpaca。


Office 365 Copilot,圖/微軟

不僅如此,大量基於大模型的 AI 應用層出不窮,還有 Office、搜索引擎這些用戶規模巨大的傳統工具不斷引入生成式 AI,這些都在快速增加算力的消耗,同時自然也需要龐大的算力進行“補充”。

無一例外,它們背後的硬件基座都是大量的高性能 GPU,基本以英偉達 A100、H100 GPU 為主,由臺積電 7nm/4nm 工藝制造。盡管還沒有到一季度財報公佈的時間,但外界已經在猜測英偉達能“贏多少”。與此同時,臺積電來自英偉達的訂單也在不斷增長。

長期來看英偉達可能也難一傢獨大,AMD 和英特爾,Google以及一票自研 AI 芯片的互聯網公司,還有可以期待一下的國產 GPU 廠商。Google在本月早些時候就宣佈,旗下第四代 TPU 驅動的 AI 超級計算機勝過英偉達上一代旗艦 GPU A100 驅動的超級計算機。但不管如何,最終這些芯片需求都要轉換為代工廠的訂單,從而影響到 EUV 光刻機的市場。

換言之,接下來生成式 AI 以及大模型的發展程度,也將很大程度上影響代工廠對 EUV 光刻機需求的緊迫性。

算力爆炸,需要技術進步

需求和市場,對半導體行業當然很關鍵,但生產和效率同樣重要。就像指導半導體行業半個世紀的“摩爾定律”,生產端以此推進半導體技術的進步;需求端以此作為依據,提前規劃和開發更先進的產品。

而如果按照 OpenAI 的報告所述,全球頭部 AI 模型訓練算力需求每 3-4 個月翻一番,意味著陡然加快的算力消耗曲線,也意味著規模更龐大的芯片需求和更高的芯片技術要求。或者用更簡單的說法——按照現有的技術,成本上無法支撐算力需求後續的暴增。

英偉達 CEO 黃仁勛在今年 GTC 開發者大會也說,“芯片需要新的技術,可能在算力上會有十倍的需求量。”


黃仁勛,圖/英偉達

當然,這是一個長期的方向。一方面,半導體行業還在推進 2nm 及以下的工藝制程,臺積電、三星和英特爾都規劃在 2025 年前後實現 2nm 量產,英特爾甚至還有 18A(1.8nm)的量產規劃。

另外,制造環節上的技術改進也在提高整體的生產效率,以英偉達聯合 ASML、臺積電研究出的“計算光刻”為例,通過計算激光的衍射效應,讓越來越復雜的掩膜板制造變得更有效率。

而且相比起市場前景的不確定性,制造技術的進步,勢必會提高已有需求產品的效率,也為未來的恢復乃至爆發做好準備。


相關推薦

2022-07-02

經保守看待臺積電瞭。日前有消息稱蘋果、AMD及NVIDIA三大客戶都削減瞭臺積電的訂單。據悉,第一大客戶蘋果雖然已經啟動瞭iPhone 14的量產工作,而且備貨量高達9000萬部,但這個目標已經削減瞭10%。除瞭蘋果之外,AMD及NVIDIA兩

2022-09-27

據報道,臺積電(TSM.US)的大客戶已開始削減2023年的訂單,這可能導致該公司在明年1月的投資者會議上修改其營收指引。截至發稿,臺積電盤前漲1.01%,報73.75美元。據解,臺積電的主要客戶包括蘋果(AAPL.US)、AMD(AMD.US)、博通(AVGO.US

2024-03-07

道,一名消息人士透露,因荷蘭政府的反移民政策傾向,光刻機巨頭阿斯麥(ASML)正計劃搬離荷蘭。目前,荷蘭政府已成立一個名為“貝多芬計劃”的特別工作組,由首相馬克·呂特親自領導,以探索阻止ASML離開的方法。消息

2022-09-27

希望到2025年,其年出貨量能達到約600臺DUV(深紫外光)光刻機以及90臺EUV(極紫外光)光刻機。由於持續的芯片短缺,交付問題每天都在發生,而且ASML還遇到柏林工廠火災這樣的意外。日前,ASML的首席技術官Martin van den Brink接

2023-11-03

今年是光刻設備領先供應商阿斯麥(ASML)第五次參加進博會,公司將以“光刻未來,攜手同行”為主題,亮相國傢會展中心技術裝備展區集成電路專區,並首次以互動視頻的形式帶領觀眾深度解ASML包括光刻機臺、計算光刻以及

2022-06-27

臺積電在早前的技術大會上則表示,在全球已經安裝的EUV光刻機系統中,臺積電擁有瞭其中的55%。三星的實際控制人李在鎔日前則拜訪瞭荷蘭總統,以尋找更多的EUV供應。這再次說明,生產先進芯片必不可少的EUV成為瞭全球關註

2024-04-19

表示,它已開始向另一傢客戶運送其第二套高數值孔徑EUV光刻系統。該公告凸顯領先芯片制造商對下一代極紫外(EUV)光刻技術的濃厚興趣。與此同時,尚不清楚ASML的哪傢客戶是第二傢獲得具有0.55數值孔徑投影光學器件的EUV工

2023-03-09

的確切定義的信息,但是公司將其解讀為“關鍵的浸潤式光刻系統”,即TWINSCANNXT:2000i及後續推出的浸潤式光刻系統。3月9日上午,荷蘭光刻機巨頭阿斯麥(ASML)發佈聲明表示,ASML預計必須申請許可證方可出口DUV設備。同時公

2022-09-28

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球隻有ASML公司能生產,現在NA0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的

2022-10-20

麥)正抓緊研制其下一代高NA(0.55數值孔徑)的EUV極紫外光刻機,在發佈最新財報期間,AMSL透露,其存量EUV客戶均訂購新一代設備。具體來說,在Intel和臺積電之後,三星、SK海力士、美光等也下單高NAEUV光刻機。高NA EUV光刻機

2023-12-02

退休,讓我突然想給過去的一點歷史做個小註腳。看過《光刻巨人》的朋友一定對范登佈林克(MartinvandenBlink)記憶猶新,他也正是三十年來ASML的技術領路人。去年范登佈林克在接受采訪時,曾暗示目前即將出貨的High-NA EUV光刻機(N

2023-06-18

快科技6月17日消息,在半導體工藝進入7nm節點之後,EUV光刻機是少不的關鍵設備,目前隻有ASML能制造,單臺售價10億人民幣,今年底還會迎來下一代EUV光刻機,價格也會大漲。光刻機的分辨率越高,越有利於制造更小的晶體管,

2023-11-08

全球光刻機巨頭ASML(阿斯麥)全球副總裁、中國區總裁沈波接受媒體采訪時表示,先進制程的光刻機固然重要,但成熟制程同樣不容忽視。ASML是全球光刻機巨頭,尤其先進制程光刻機隻有ASML可以提供,但ASML的先進制程光刻機

2023-03-11

於先進的芯片制造技術,包括最先進的沉積設備和浸潤式光刻系統。ASML強調,新的出口管制措施並不針對所有浸潤式光刻系統,而隻涉及所謂“最先進”的浸潤式光刻系統。截至目前企業尚未收到有關“最先進”的確切定義的