ASML光刻機是怎樣一步步走上“絕”路的?


11月30日,ASML宣佈聯席總裁溫寧克和范登佈林克明年4月份退休,讓我突然想給過去的一點歷史做個小註腳。看過《光刻巨人》的朋友一定對范登佈林克(MartinvandenBlink)記憶猶新,他也正是三十年來ASML的技術領路人。

去年范登佈林克在接受采訪時,曾暗示目前即將出貨的High-NA EUV光刻機(NA=0.55)可能是ASML最後一代產品。雖然業界已經開始在討論Hyper-NA(超NA,即NA>0.7),但老范認為它的成本會恐怖到不可能,大概原因是他能看到這一代產品已經把合作夥伴們逼到極限。(註:NA簡單地說,是來描述系統可以收集和聚焦多少的光)

用比EUV更短波長的光(射線)呢?也被否決。因為波長再降低,反射角調整會導致光損失到難以承受,光路上反射鏡如果增大很多倍會導致光刻機變成個難以生產和運輸的大怪物。

接下來,我們回顧一下,ASML光刻機是怎樣一步步走上“絕路”的。

一、

光刻這個詞,全名叫Photolithography,簡稱Lithography或者Litho。Litho原義是一種印刷方法,利用油和水不相容的原理,把文字和空白分開。現代的膠板印刷,也是一模一樣的原理:印版搞到滾筒上,滾筒上有無圖文部分親水而有圖文部分親油(油墨)。彩色印刷呢,CMYK四種顏色的油墨依次上筒,但顯然要保證每次套印一定要對齊。

請記住套印這個詞(overlay),也是把光刻機一步一步逼瘋的關鍵之一。光刻裡面的overlay,一般也是指不同層的圖案對準的精度。印刷機的套印精度大概是0.05mm,據說這樣就夠騙過人眼,而最先進的光刻機overlay精度是<1nm,兩者差5萬倍。

早期光刻機的原理和印刷確實也是一毛一樣的,有圖案和沒圖案的地方通過光敏膠曝光再刻蝕分開,多次曝光要對齊,所以叫對齊機(Aligner),臺灣地區幹脆叫它曝光機。

但你要真以為印刷就這麼簡單,那就天真。印刷機的設計不僅要精細計算印版的吸附表面能,也要考慮水和油墨的表面張力和流變特性,而且印刷速度越快溫度越來越高,它們的粘度和厚度又會怎樣變化?怎樣保證不同顏色不會互相污染?不同紙張對不同油墨的滲透是不是不一樣?

我們要解釋光刻機的難度,同樣需要理解它怎樣處理各種印刷的精度和材料及溫度特性等問題。

二、

光刻機從Aligner到Stepper(步進光刻機),是一次微機電的升級,從一次曝光一整片晶圓到光頭在晶圓上一步一步(Step and repeat)移動曝光一個小方塊,那時還用的是簡單的汞燈。

Stepper升級到Scanner(掃描光刻機),因為激光光源越來越珍貴,光頭從方塊光場變成一條線掃描(和復印機那一條光原理橫掃一樣)。Scanner的機械實現技術難度成指數級數的上升,因為這條光需要同步掃描光罩和晶圓。光罩(Reticle)也叫掩模(Mask),似乎光罩更能清晰表示它和晶圓不是貼在一起的。

眾所周知,日本由於出色的精密機械和加工能力,在80、90年代各種極其精巧的傢電中獨占鰲頭,比如錄音機、攝錄像機、Walkman和Diskman等,後來喬佈斯為給第一代iPod裝上硬幣大小的微硬盤,也得去找東芝。

因此,在Stepper和Scanner的時代,日本尼康和佳能背靠著日本優勢的精密儀器產業,加上兩傢本身在光學上就是世界一流,迅速打垮美系那些傻大黑粗。

但隨著摩爾定律的進展,芯片尺寸越來越小曝光多達幾十層,對齊套刻(overlay)的挑戰越來越高。日系的精密加工也是有極限的,如同日系消費電子產業從模擬轉換到數字後遭受慘敗,軟件控制是對機械控制的降維打擊。現代光刻是Stepper+Scanner+高精測量+計算光刻等一堆的集成,所以叫Lithography System(這大概是現在光刻機最正式的英文)。

我們在之前的文章裡反復提到,日系產品的軟件設計不可思議地奇怪,比如多年後索尼夏普的彩電遙控器上還是密密麻麻的小按鈕。不知道是不是日本文化更重視看得見摸得著的硬件,反正日系的軟件基因一直發育不良。

三、

網上大傢都傳,認為浸入式光刻是尼康敗於ASML的關鍵一戰,我倒不這麼認為。浸入式本身也可算是機械實現,難度不算高,而且尼康也確實很快做出來。

導致尼康潰敗的,應該是ASML的雙工臺TwinScan。TwinScan的光刻機有超過十億行代碼,有無數的高精傳感器和控制器來配合軟件做到納米級的測量和定位。日系一直拿不出可以提前精測的雙工臺,這導致其機器的生產效率明顯不如ASML,而且自然差錯率也會更高。

另外一個需要強依賴軟件的地方是所謂“計算光刻”。舉例來說,由於光刻膠本身物理和化學特性加上光本身的折射衍射,真正膠體的變性圖案和模版並不能完全一致,軟件建模和校正則成為殺手鐧。工業軟件的關鍵就是建模,比如提前算好什麼牌子的膠和什麼角度的光配合出的線條粗糙度如何,反過來再去重新設計光罩,但顯然這需要大量的歷史數據和算法庫。激光本身也會帶來鏡片或液體溫度的變化,軟件計算後可以通過微機械聯動進行動態補償。

四、

由於Mate 60系列的原因,最近大傢一直在討論DUV生產7nm芯片,這玩意比現階段使用EUV其實更復雜:通過增加CVD層spacer實現自對準,四次曝光疊加才能完成第一層,這個overlay的精度需要1nm左右。多重曝光大幅度提高光刻在總成本中的占比:昂貴的四個光罩加上四次浸沒光刻時間成本,而同理瑕疵也會倍增導致良率下降。

記得梁先生在2020年辭職信裡提到,3年多完成從28nm到7nm研發的神奇三級跳。這種跳躍並沒有設備上的不同,而是來自海峽對岸的工程師們在晶圓廠的know-how上面,做出奇跡般的傳遞。

到現在,我們還沒開始討論EUV。

現在大傢都已經知道,EUV是一個橫跨超過二十年的工程奇幻。那麼,ASML究竟做對什麼,可以達成這樣一個不可能的任務呢?

這個話題值得寫一本書。不過,我們想象一下,如果你是公司的老板,你會怎麼做。你會去全世界找各個領域最頂尖的制造商,幫你按你的高標準研發零部件,對吧?

但是,一個機型一年賣幾十臺,十萬個零件,核心部件全是非標定制,每個零件的訂貨數量少得可憐,供應商願意麼?

所以,不光得講情懷,你要給足夠的錢、足夠的研發時間、足夠的測試、足夠的迭代改進時間…

這大概就是尼康碰到的問題。日系的供應商大多也是日系,如果裙帶企業不願意做,尼康隻能降低spec要求。另外不像ASML沒有退路背水一戰,尼康本身還有相機、醫療儀器等大量其它產品,內部拖沓扯皮也會更容易發生。

其實日本研發人員在1980年代就開始研究EUV,同步加速器產生光源(清華方案的老祖宗)和實驗室曝光納米級線條30多年前就成功。

五、

氙氣是後來大傢一致認可的產生EUV光的方案,因為相對簡單。在2000年前後有大量相關論文,包括英特爾在2004年安裝的EUV實驗裝置也是用氙,尼康也押寶在氙,但最終還是無法解決轉換效能低和污染問題。

ASML倒是老早就押寶在錫身上。錫並不是個很好的EUV方案,開始用激光擊打固體錫總是產生大量碎片,而且錫片本身會阻擋掉大半寶貴的等離子體。ASML大概做10年錫EUV,在2010年第一代EUV NXE3100上,可用功率也隻有10W。這是什麼意思呢?大概一小時隻能生產幾片晶圓,這種效率不會有人買單的。

同樣,光講情懷搞不定卡脖子的供應商。ASML在2012年走上絕路的標志,就是它把當年早些英特爾、臺積電和三星購買其23%股份時承諾的研發投入17億美元,自己再加9億一股腦全用在高溢價收購激光光源供應商Cymer身上。

工程師們用激光轟擊液體錫滴,但錫滴是球型的,激光接觸面自然是很小的。為盡可能達到盡可能高的轉換效率,錫滴越小越好,而且最好激光擊打到一個凹進去的形狀裡。這種想法提給工程師以後,很難想象得給他們喝多少雞血才行。

反正最後的方案是這樣的,錫滴一小滴一小滴滴下來,先用低能量激光把錫滴打變形出來凹餅狀,再用高能量激光打在凹坑裡產生寶貴的EUV。聽起來是不是也不算難?問題是,液滴隻有30微米大,每秒5萬滴以時速近300邁噴出來,然後兩槍激光必須每一次都要準確地第一槍打凹,第二槍打在凹坑裡:每秒10萬槍。這樣的高效率,終於使得EUV光刻機的可用功率達到200多W,達到量產上百片晶圓目標。

在2004年ASML、尼康和佳能聯合制定的EUV光源目標中,功率隻有110W,可見當時大傢期望都不高。但今天,ASML已經把目標定在450W。

六、

“我聽說ASML對晶圓臺啟動移動的瞬間光子的浪費都感到可惜,因為EUV射線太寶貴。為保證產能,他們必須和時間賽跑,盡可能提高晶圓的移動速度,但臺面飛快地加速和減速時,還不能產生一絲震動。” —-《和時間旅行者討論半導體

為盡可能提高曝光效率,晶圓臺的移動要越快越好,那麼要多快呢?5個g的加速度,同時量測速度是一秒鐘2萬次,保證晶圓臺飛一般地移動到正確的位置。那麼問題來,得配備什麼樣的傳感器才能精準到這種程度呢?

ASML官方說,這些傳感器的精度是60皮米,也就是0.06納米。即使這樣,ASML覺得還沒做夠,他們實現7個g的晶圓臺加速度,這樣可以達到15秒處理一片晶圓,而在這15秒內要掃描曝光約100個地方。要知道晶圓臺是托著12寸晶圓的大玩意,這麼快的移動速度,怎麼能不產生振動和熱量呢?

ASML之前的TwinScan臺是空氣懸浮的,這樣摩擦阻力可以很小。但隨著芯片做到7nm以下,問題又來,氣懸的空氣會隨著晶圓臺高速移動產生擾流,擾流會影響量測幹涉儀的精度,這樣就難以達到納米級對準。

怎麼辦呢?ASML咬牙把氣懸浮改成磁懸浮,這不就沒空氣麼,也避免空氣被加熱的問題。但說起來容易,磁浮會帶來超強磁場,副作用肯定也得解決。

那麼,這樣就可以嗎?

七、

悲催的是,我們還沒討論最重要的光路設計呢。我想大傢都看過EUV示意圖。

有小夥伴問,既然EUV光線這麼寶貴,為什麼要反射這麼多次?每次要損失近一半的光子呢,按一次50%損失反射6次就隻剩2%不到。

對,即使蔡司制作的這些反射鏡是地球上最平整的平面(每個鏡子有四五十層矽和鉬交替的塗層,還得確保每層的厚度是EUV波長的一半),仍然讓EUV光損失慘重。

這裡有好多講究,一個是光不能隨意拐彎,為機器不是巨大塞不進飛機,光路設計要考慮空間。不考慮空間的體育記者手裡的大炮相機和考慮空間的手機相機,差別是很大的。較大的入射角也是不行的,會導致更多的相差和損失。

EUV光子需要匯聚成線後先掃過光罩(也是鏡子),反射光需要縮小到1/4再掃過晶圓上橡皮大的曝光區(Field),這個縮小過程更需要嚴格的對焦和光路設計,所以這些鏡子並不是平面鏡,而是帶焦點的縮小鏡。

隻要是光學器件就會有缺陷,光路設計好則有可能補償掉其中大部分。

聽朋友傳謠說,蔡司一開始是不想玩這個遊戲的,一年生產幾十套這個鏡子,能賺幾個錢?而且為生產它們,需要幾層樓高的超級真空腔和巨型機械手。更悲催的是做出來稍微有點瑕疵,ASML還不要。

這個謠言也許是真的,因為大約到2015年ASML啟動High-NA EUV項目時(當時Low-NA EUV還遠未通過客戶驗證),蔡司真的準備撂挑子。當時還不富裕的ASML咬牙花10億歐元買下蔡司半導體部1/4的股份,再加上承諾未來6年給半導體部撥款7.6億歐元。

八、

High-NA EUV系統已經是ASML能看到的一條絕路。問題是,周圍小夥伴們卻認為那也許是一條死路。臺積電和英特爾都對手裡的ASML股票做清倉式減持。

歷史是必須要回看的,身在其中必然無法體會期間的奧秘。

第一臺EUV跳票10年,確實是碰到的問題太多。我們舉個小例子:

現在的EUV是一臺1.5兆瓦的功率巨獸,激光就像帶著火把在森林裡放火,每到一處產生的溫度變化都不可避免導致器件變形變異,而在高端芯片上是錯開1.5nm上下層就對不上。

我們說過帶有芯片圖案的母版光罩也是鏡子,這玩意大概30萬美元一個,高能激光會導致光罩是有壽命的。別的鏡子上有點瑕疵還好,大不丟幾個光子,而光罩上的瑕疵則直接導致芯片失效。還有一個問題是,小的雜質顆粒會掉到上面。

原來設計師的思路是,EUV光路是全真空的,根本不用考慮雜質的事。可現實情況是,鬼知道哪裡來的肉眼根本看不到的小東西。晶圓廠通常隻能在發現缺陷後,停機把光罩摘下來幹洗或濕洗,反正是損失巨大。

有人說,不如給光罩貼個膜,發現問題撕再貼一張不就好。

這個思路倒是一點都不蠢,居然和工程師想的一樣。但什麼樣的膜才能讓寶貴的EUV光線進去再反射回來還沒損失呢?要知道EUV打在上面可以升溫超過600度呢。要知道玻璃都能吸收EUV我們才改用鏡子的,這個膜得足夠薄還要有足夠的強度來保證平整。

沒有光線損失是不可能的,很多廠傢參與挑戰但大多最終放棄。ASML自己做無數試驗最終選一款50nm厚的多晶矽膜,大概是女士面膜1/50000那麼厚,大概可以實現隻損失10%的EUV。ASML為吸引客戶,把這個膜做成自動的,自動量測膜上的雜質,再用機械手自動把它遮擋到光罩上。

雖然已經精益求精,但這10%的光線損失也讓晶圓廠肝疼,因為它很可能導致產能降低。而且這膜壽命也就扛一兩天而已,所以晶圓廠對於小尺寸光罩有時就不用。

這件事從一個側面顯示現在光刻機的悲催之處,就是每一處微小的改進都需要花巨大的代價,這個代價和收益經常是不能匹配的。

九、

不知道英特爾當年的CEO和CTO是怎麼判斷局勢的,在10nm百般不順延誤至少3年的情況下仍不相信EUV是能用的,手握巨額現金卻錯過一舉扳平臺積電7nm的機會。

顯然,英特爾的聰明人不會兩次在同一個地方絆倒,他們決定比臺積電更早使用High-NA EUV光刻機,在1.8nm級別(18A)時反超臺積電。

不巧的是,ASML的High-NA跳票。

雖然多少nm的芯片現在已經完全是營銷術語,但晶體管密度的提升並不能作假。英特爾低調地不再宣傳18A是用High-NA制造,隻能默默用良率不好控制的Low-NA多重曝光來實現。

High-NA理論上並不是像EUV剛上馬那時那麼困難,所有事情都需要顛覆重做,那它難在哪裡呢?

十、

為收集更多的寶貴EUV,對ASML最理想的情況是光罩的面積從6英寸大幅度增大到12英寸(光罩也是個反射鏡),這樣產能(吞吐量)也會更大。

但晶圓廠、光罩廠、檢測設備廠齊齊投反對票,甚至增大到7英寸都不同意,畢竟他們要為光罩這麼個消耗品買單。

但High-NA就意味著更大的反射鏡,壓力全留給ASML,然後轉嫁給蔡司。據說最後光路中的反射鏡有1米多寬,比普通EUV長一倍。更悲催的是,這樣的鏡子從普通EUV的40公斤一片陡增到360公斤一片。

如此重而且大的鏡子,用怎樣的夾具才能保持世界上最平整的表面沒有變形呢?

具體我也不知道。但看宣傳稿,蔡司是用在巨大的真空室裡用巨大的機器人抓著它的。

我們回到光罩這個最復雜的鏡子上來,由於面積不許增大,圖案分辨率要求入射角也不能增大,就隻能采用x和y軸不同縮小倍數的反射鏡(也就是一個軸是哈哈鏡),最終到達晶圓的掃描光場是普通EUV的一半大小,這算是達到0.55NA的折中方案。

聽起來還好,但這個高精度哈哈鏡和做平面鏡的難度顯然不是一個量級。更復雜的是掃描光場小一倍,兩個光場怎麼才能納米級拼接呢?輔助的量測系統又要大改。

還有一個大麻煩的是,NA增大後最終光線聚焦的焦深變淺。光刻膠是一層三維立體的東西,足夠的焦深才能使足夠厚度的光刻膠吸收光能後變性。光刻膠得重新研發,另外晶圓的平整度要求比以前更高(否則淺焦深覆蓋不矽片表面起伏),這又涉及到產業鏈一系列變化。

高NA還要求被擠爆牙膏的激光功率再一次提升,而且打擊錫滴的激光從每秒5萬滴再增加20%以上。但是,這種超級功率巨獸散發出的各種能量,又是引起整臺機器內部溫度變形的各種要解決的麻煩。

總之,High-NA EUV並不是普通EUV的簡單升級,這玩意兒幾乎是照著極限新設計一臺,所以一個“升級”又花十年。悲催的是,Hyper-NA EUV將是同樣地每個極限再突破全重來一遍,這就是范登佈林克“絕望”的地方。

十一、結束語

ASML在過去三十年大概出五六千臺光刻機。令人無比驚訝的是,其中95%的機器仍然在晶圓廠正常工作,其中包括1800臺《光刻巨人》裡的傳奇老機器PAS5500。在中國也有無數海外流入的二手機器在跑,ASML每年也在翻新和維護大量老機器。

這樣的商業模式聽起來很奇怪吧?老的不淘汰,新的賣給誰啊?

這就是信息時代的力量。人類信息技術和存儲對芯片需求爆炸性的增長,給光刻機不斷成長和發展的空間。

這麼看來,ASML顯然是時代發展的寵兒。

那麼,它的光刻機走上“絕路”,是好事還是壞事呢?


相關推薦

2023-06-18

快科技6月17日消息,在半導體工藝進入7nm節點之後,EUV光刻機是少不的關鍵設備,目前隻有ASML能制造,單臺售價10億人民幣,今年底還會迎來下一代EUV光刻機,價格也會大漲。光刻機的分辨率越高,越有利於制造更小的晶體管,

2023-11-07

於“納米壓印”技術的芯片制造設備的價格定為ASML的EUV光刻機的1/10。由於該設備可以用於制造5nm尖端制程芯片,且不是基於光學技術,或將成為中國繞過美國限制來制造尖端制程芯片的可行方案。但是,佳能首席執行官三井藤

2023-03-11

於先進的芯片制造技術,包括最先進的沉積設備和浸潤式光刻系統。ASML強調,新的出口管制措施並不針對所有浸潤式光刻系統,而隻涉及所謂“最先進”的浸潤式光刻系統。截至目前企業尚未收到有關“最先進”的確切定義的

2023-05-08

冠上的明珠。但最近十年,不斷挑戰物理學極限的半導體光刻機,大有挑戰明珠之王的趨勢。航發是在極端高溫高壓下挑戰材料和能量密度的極限,而光刻是在比頭發絲還細千倍的地方挑戰激光波長和量子隧穿的極限。更難得的

2024-04-18

什麼可以阻止我們為在中國大陸安裝的設備提供服務。”光刻機是制造芯片的關鍵設備,中國大陸是ASML的第二大市場。因此,這種限制可能對中國的晶圓制造商產生重大影響,特別是對於維護產線穩定運行所必需的光刻機核心

2022-09-01

EUV光刻機是半導體制造中的核心設備,隻有ASML公司才能生產,單臺售價約10億人民幣,之前三星、臺積電等公司還要搶著買,然而今年半導體形勢已經變,EUV光刻機反而因為耗電太多,臺積電計劃關閉省電。來自產業鏈的消息人

2024-03-28

備的一些核心零部件也需要定期更換。據芯智訊解,ASML光刻的工件臺對準定位用的部件,一般來說,兩年就需要更換。因此,國內的晶圓制造商如果想要維持產線的生產的穩定,產線上的ASML光刻機的核心部件的供應和維護極為

2023-03-30

近期,英偉達推出的一款計算光刻軟件引起廣泛關註,這使得計算光刻這個領域受到更多人的關註。計算光刻這個領域已經存在30年之久,但現在為什麼備受關註呢?因為這關乎摩爾定律的繼續演進,芯片的繼續微縮。什麼是計

2024-04-19

組裝阿斯麥(ASML)的高數值孔徑(High-NA)極紫外(EUV)光刻機,這是其超越競爭對手的重要一步。英特爾是首傢購買阿斯麥新一代光刻機的公司,這臺機器的售價高達3.5億歐元(約合3.73億美元)。盡管存在一定的財務和工程風

2023-11-03

今年是光刻設備領先供應商阿斯麥(ASML)第五次參加進博會,公司將以“光刻未來,攜手同行”為主題,亮相國傢會展中心技術裝備展區集成電路專區,並首次以互動視頻的形式帶領觀眾深度解ASML包括光刻機臺、計算光刻以及

2022-09-28

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球隻有ASML公司能生產,現在NA0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的

2023-11-07

芯片制造設備售價將比ASML的EUV少一位數。目前,極紫外光刻機(EUV)的唯一供應商就是ASML,EUV對於大規模生產速度快、能耗低的芯片至關重要,但每臺機器的售價高達數億美元,隻有少數公司才有能力購買。為此,從2017年開始

2024-02-05

2023年10月,日本光刻機大廠佳能(Canon)正式發佈基於納米壓印技術(NIL)的芯片制造設備FPA-1200NZ2C,預計為小型半導體制造商在生產先進制程芯片方面開辟出一條全新的路徑。近日,佳能負責新型納米壓印設備開發的高管武石

2024-02-18

制造商來說,使用該公司的下一代High-NA(高數值孔徑)光刻設備在財務上意義不大。在最近接受BitsandChips采訪時,ASML首席財務官表示,High-NA正在走上正軌且健康,該分析公司低估它的好處。在該公司最近的財報電話會議上,ASM