佳能CEO:可繞過EUV量產5nm的納米壓印設備無法出口到中國


11月6日消息,據彭博社報道,佳能公司正計劃將其新的基於“納米壓印”技術的芯片制造設備的價格定為ASML的EUV光刻機的1/10。由於該設備可以用於制造5nm尖端制程芯片,且不是基於光學技術,或將成為中國繞過美國限制來制造尖端制程芯片的可行方案。

但是,佳能首席執行官三井藤夫在采訪中表示,該設備無法出口到中國。

尖端制程嚴重依賴EUV光刻機

總部位於荷蘭的ASML是目前全球最大的光刻機廠商,同時也是全球唯一的極紫外光刻設備供應商。EUV光刻機是目前世界上最先進的芯片制造設備,每臺成本高達數億美元。

雖然目前在光刻機市場,還有尼康和佳能這兩大供應商,但是這兩傢廠商的產品主要都是被用於成熟制程芯片的制造,全球市場份額僅有10%左右,ASML一傢占據90%的市場份額,並壟斷尖端的EUV光刻機的供應。

目前7nm以下的先進制程芯片的大規模生產主要都是依賴於ASML的EUV光刻機,但隻有少數現金充裕的公司才有能力投資購買這些EUV光刻機。

即便如此,EUV光刻機仍因為其在尖端芯片制造供應鏈中的關鍵地位而一直受到出口管制審查。

多年前,美國就有向其盟友——荷蘭施壓,要求其限制EUV設備出口到中國。今年6月30日,荷蘭政府正式出臺新的半導體出口管制措施,ASML被禁止向中國客戶出口EUV系統以及先進的浸沒式DUV系統。

這也意味著中國想要突破到5nm,甚至更尖端的制程工藝將會面臨極大的困難。

繞過EUV,佳能納米壓印技術可量產5nm

今年10月中旬,佳能公司宣佈開始銷售基於“納米壓印”(Nanoprinted lithography,NIL)技術的芯片生產設備 FPA-1200NZ2C。佳能表示,該設備采用不同於復雜的傳統光刻技術的方案,可以制造5nm芯片。

此前佳能一直專註於制造不太先進光刻機產品。直到2014年,佳能收購Molecular Imprints股份有限公司,開始押註納米壓印技術。

近十年來,佳能一直在與日本光罩等半導體零組件制造商大日本印刷株式會社(DNP)和存儲器芯片制造商鎧俠(Kioxia)合作研發納米壓印工藝。該技術可以不使用EUV光刻機,就能使制程技術推進到5nm。

佳能表示,這套生產設備的工作原理和行業領導者 ASML 的光刻機不同,其並不利用光學圖像投影的原理將集成電路的微觀結構轉移到矽晶圓上,而是更類似於印刷技術,直接通過壓印形成圖案。

相較於目前已商用化的EUV光刻技術,盡管納米壓印技術的芯片制造速度要比傳統光刻方式慢,但鎧俠在2021年就曾表示,納米壓印技術可大幅減少耗能,並降低設備成本。

原因在於納米壓印技術的制程較為簡單,耗電量可壓低至EUV 技術的10%,並讓設備投資降低至僅有EUV設備的40%。

佳能首席執行官三井藤夫在最新的采訪中表示,這項新的納米壓印技術將為小型半導體制造商生產先進芯片開辟一條道路。

“價格將比ASML的EUV光刻機低一位數(即僅有10%)”這位88歲的老人上一次退出日常運營是在2016年,現在是他第三次擔任佳能公司總裁。他補充說,佳能尚未做出最終定價決定。

另外,納米壓印設備還可以使得芯片制造商降低對於ASML的EUV光刻機的依賴,使得臺積電、三星等晶圓代工廠可以有第二個路線選擇,可以更靈活的為客戶生產小批量芯片。

甚至,芯片設計廠商可以不依賴於晶圓代工廠來自己生產小批量的芯片。

因為NAND閃存的圖形更為簡單,因為其采取是多層幾乎相同的層的堆疊,所以更容易適應基於納米壓印的技術制程。

鎧俠數年前就表示,已解決納米壓印的基本技術問題,正在進行量產技術的推進工作,希望能較其他競爭對手率先引入到NAND 生產當中。

但隨後的消息顯示,鎧俠在對納米壓印技術進行測試之後,遭到潛在客戶提出的投訴,認為產品缺陷率較高,最後並未實際應用。

另外,相對於更為復雜的,邏輯芯片來說,應用納米壓印技術的來制造還是面臨著很多的挑戰。

不過,佳能在推動納米技術量產NAND的同時,也致力於將納米壓印量產技術廣泛的應用於制造DRAM 及PC 用的CPU 等邏輯芯片的設備上,以在未來供應多的半導體制造商,也希望能應用於手機應用處理器等最先進制程上。

據解,佳能目前正在日本東京北部的宇都宮建造20年來第一傢新的光刻設備工廠,將於2025年上線。

佳能最新發佈的這套納米壓印設備可以應用於最小14平方毫米的矽晶圓,從而可以生產相當於5nm工藝的芯片。佳能表示會繼續改進和發展這套系統,未來有望用於生產 2nm 芯片。

對於納米壓印技術市場前景,三井藤夫說:“我不認為納米壓印技術會超過EUV,但我相信這將創造新的機會和需求。我們已經接到很多客戶的咨詢。”

納米壓印設備無法出口到中國

受美國及荷蘭出臺對於先進半導體設備的出口管制影響,國內業界對於佳能最新推出基於納米壓印技術的芯片制造設備可以繞過EUV生產5nm充滿興趣,認為這可能會是一條能夠繞過美國限制制造更先進制程芯片的路徑。

雖然在今年7月日本實施新的半導體出口管制措施,限制可以被用於先進制程的浸沒式光刻機的出口,其中似乎並未新增對於限制基於納米壓印技術的光刻機的出口。

但是事實上,芯智訊查閱日本的出口管制清單,當中就有限制“可實現45nm以下線寬的壓印光刻裝置”。

佳能CEO三井藤夫也在最新的采訪中表示,佳能可能無法將這些(基於納米壓印技術的)芯片制造設備出口到中國。“我的理解是,任何超過14nm技術的出口都是被禁止的,所以我認為我們無法銷售。”

日本經濟省的一名官員表示,他無法評論出口限制將如何影響特定的公司或產品。



相關推薦

2024-02-05

2023年10月,日本光刻機大廠佳能(Canon)正式發佈基於納米壓印技術(NIL)的芯片制造設備FPA-1200NZ2C,預計為小型半導體制造商在生產先進制程芯片方面開辟出一條全新的路徑。近日,佳能負責新型納米壓印設備開發的高管武石

2023-11-07

快科技11月6日消息,近日佳能CEO禦手洗富士夫在接受受訪時表示,該公司基於NIL的新型芯片制造設備售價將比ASML的EUV少一位數。目前,極紫外光刻機(EUV)的唯一供應商就是ASML,EUV對於大規模生產速度快、能耗低的芯片至關重

2023-11-06

佳能計劃將其新型芯片制造設備的定價定在阿斯麥最佳光刻機成本的一小部分,尋求在尖端設備領域取得進展。佳能此前推出納米壓印半導體制造系統,試圖通過將該技術定位為比現有最先進工具更簡便、更易獲取的替代方案,

2022-09-26

子相關應用,例如構建用於生物醫學和其他化學分離技術的納米孔膜。如上所述,該產品的缺點是吞吐量非常低,換而言之,它可能適合制造小批量的量子處理器芯片,對於大批量消費電子產品來說,這不是一個好的解決方案。

2022-06-27

納米片晶體管(GAAFET)架構的2nm(N2)芯片,預計在2025年量產。與此同時,6月初被美國總統拜登亞洲行接見後,緊接著,韓國三星電子副會長李在鎔又馬不停蹄奔赴歐洲,有報道指三星電子在阿斯麥獲得瞭十多臺EUV光刻機,並

2024-03-25

對準四重圖形刻蝕(SAQP)技術專利,以使中芯國際實現5納米半導體生產。這兩傢中國巨頭一直在利用深紫外光(DUV)設備開發圖案刻蝕技術,使中芯國際能夠生產符合美國出口規則的節點,同時保持之前宣佈的7納米節點的工藝

2022-07-01

藝技術的芯片,這也使得三星搶先臺積電成為瞭全球首傢量產3nm的晶圓代工企業。三星量產3nm GAA制程,上海磐矽為首批客戶2021年6月,三星就率先宣佈其基於GAA技術的3nm制程成已成功流片(Tape Out)。隨後在2021年10月的“Samsung Fo

2024-02-06

將繼續使用麒麟品牌。麒麟 9000S 采用中芯國際的 7nm 工藝量產,為 Mate 60 系列提供動力,在取得成功之後,華為需要繼續保持這一勢頭。隨著 Mate 70 系列發佈日期的臨近,華為必須開發出性能更強、效率更高的芯片,在各方面超

2022-07-01

,宣佈瞭一條大新聞。基於3納米(nm)制程的芯片,正式量產瞭!在紙面參數上,可謂是實現瞭質的飛躍——性能猛提30%,功耗猛降50%,面積也減少瞭35%。來源:新智元中間三位大咖抱的可不是普通的“盤子”,而是剛從三星華

2023-11-26

華為不畏美國制裁,為一系列旗艦產品量產7納米麒麟9000S被業內觀察人士視為奇跡,但這並不意味著前路會變得更容易。在最新的報告中,臺積電前研發副總裁林本堅,也是著名的浸沒式光刻機發明人,被譽為"芯片大

2022-07-21

刻臺積電表示,臺積電的 N7+ 技術於 2019 年第二季度開始量產,是首個將客戶產品大批量投放市場的 EUV 工藝。采用 EUV 技術的 N7+ 工藝建立在臺積電成功的 7nm 節點之上,為 6nm 和更先進的技術鋪平道路。其中,臺積電 Fab 15 是N7+

2023-01-31

涉及到限制幹式ArF光刻機。如果目標是阻止中國擴大其14納米工藝技術大規模量產,那麼必須阻止所有可以實現節點上最小金屬間距64納米的工具,理論上,連KrF光刻機業需要納入限制,SAQP搭配KrF 光刻機,盡管經濟效益已經不是

2024-04-16

的瘋狂限制中受益。它是紫外光刻技術(一種在矽晶圓上壓印芯片圖案的技術)領域的全球主導者,也是公認的極紫外光刻技術(EUV)領域的壟斷者,而極紫外光刻技術據稱是生產最先進芯片所需的最新一代技術。根據瓦森納安

2024-02-14

,英特爾原預期將該光刻機設備導入在自傢18A的先進制程量產,不過,日前英特爾CEO基辛格(Pat Gelsinger)宣佈,不會在18A制程采用High NA EUV量產,代表暫時延後採用High NA EUV光刻機設備。至於臺積電、三星等晶圓代工大廠在High NA