臺積電披露未來的研發計劃


據semiwiki報道,在最近的VLSI技術與電路研討會上,臺積電研發高級副總裁YJMii博士發表題為“SemiconductorInnovations,fromDevicetoSystem”的演講。該演示文稿提供對臺積電未來研發計劃的見解,超越當前的路線圖。還強調正在研究的技術的相關挑戰。本文總結Mii博士的精彩演講。

Mii 博士首先對未來終端市場的增長進行預測,並強調持續提高高性能計算吞吐量的必要性和對能效的關註。對於 HPC 的需求,他分享一個“數字數據熱潮”的預測,如下圖所示。例如,“智能”工廠預計每天收集、監控和分析 1 PB 的數據。

他進一步指出,機器學習(訓練和推理)對上述應用的支持的作用同樣預計也會擴大,這對 HPC 吞吐量提出進一步的要求。Mii 博士評論說,這些 HPC 要求將繼續推動研發工作,以提高半導體工藝路線圖和先進(異構)封裝技術中的邏輯密度。

下圖則展示臺積電對電源效率的不懈關註。

所示架構不僅說明 5G(很快,6G)將在我們使用的設備中普及的程度,而且還說明“邊緣數據中心”的運營。與 HPC 應用程序一樣,機器學習算法的影響將無處不在,需要關註功率效率。

最近的技術創新

在介紹臺積電的一些研發項目之前,Mii 博士簡要總結最近的半導體工藝技術創新。

在節點 N7+ 引入 EUV 光刻

臺積電表示,臺積電的 N7+ 技術於 2019 年第二季度開始量產,是首個將客戶產品大批量投放市場的 EUV 工藝。采用 EUV 技術的 N7+ 工藝建立在臺積電成功的 7nm 節點之上,為 6nm 和更先進的技術鋪平道路。其中,臺積電 Fab 15 是N7+ EUV 的生產基地。

他們進一步指出,N7+ 量產是 Fab 15 中有史以來最快的量產之一。它的產量與已經量產一年多的原始 N7 工藝相似。在N7+ 引入EUV的時候,臺積電的 EUV 工具已達到生產成熟度,工具可用性達到大批量生產的目標目標,日常操作的輸出功率超過 250 瓦。

據臺積電在早前的技術大會上介紹,統計全球已經安裝的EUV光刻機系統中,他們擁有其中的 55%。他們進一步指出,公司將在 2024 年擁有ASML下一個版本的最先進芯片制造工具——high-NA EUV。

據anandtech報道,如今,最先進的芯片采用 5/4 納米級工藝制造,使用 EUV 光刻 ASML 的 Twinscan NXE:3400C(和類似)系統,該設備具有 0.33的 數值孔徑 (NA) 光學器件,可提供 13 nm 分辨率。該分辨率對於 7 nm/6 nm 節點(間距為 36 nm ~ 38 nm)和 5nm 節點(間距為 30 nm ~ 32 nm)的單模式方法來說已經足夠好。但隨著間距低於 30 nm(超過 5 nm 節點),13 nm 分辨率可能需要雙光刻曝光,這將在未來幾年內使用。

因此對於後 3nm 節點,ASML 及其合作夥伴正在開發一種全新的 EUV 工具——Twinscan EXE:5000 系列——具有 0.55 NA(high-NA)鏡頭,能夠實現 8nm 分辨率,預計可避免在 3 nm 及以上使用多重圖案。新的high-NA掃描儀仍在開發中,預計它們將非常復雜、非常大且價格昂貴——每臺的成本將超過 4 億美元。高數值孔徑不僅需要新的光學器件,還需要新的光源,甚至需要新的晶圓廠大樓來容納更大的機器,這將需要大量投資。

“臺積電將在 2024 年引入high-NA EUV 掃描儀,以開發客戶所需的相關基礎設施和圖案化解決方案,以推動創新,”臺積電研發高級副總裁 YJ Mii 在臺積電矽谷技術研討會上表示。Mii沒有透露該設備何時用於大規模生產,該設備是用於制造更小更快芯片的第二代極紫外光刻工具。臺積電的競爭對手英特爾公司表示,它將在 2025 年之前將這些機器投入生產,並且它將是第一個收到該機器的公司。

用於提高載流子遷移率的 SiGe pFET 溝道

按照臺積電所說,在他們的7nm節點之前,矽一直是所有 CMOS 技術世代的首選晶體管溝道材料。但到臺積電的 5nm 技術,他們率先以 SiGe 作為 p 型 FinFET 溝道材料,以用於先進邏輯生產技術。臺積電強調,公司還在積極探索替代晶體管溝道材料,為高性能和低功耗器件設計提供額外自由度。矽鍺和鍺是臺積電探索性研究工作的例子,這些工作已被廣泛發表,並在某些情況下被公認為國際會議的亮點。

從上圖可以看到,高遷移率溝道晶體管改進驅動電流(+18%)。一開始,有人評論說這可能是鍺溝道(fin),但考慮到 Ge 和 Si 之間的晶格不匹配以及會產生的位錯,我們似乎更有可能擁有類似的 PMOS SiGe 溝道,臺積電上面的介紹說明這點。與 IBM 聯盟的平面柵極優先 HKMG 部件中使用的相比,含有高達 40% 的 Ge。

分析表示,在平面版本中,epi-SiGe 首先生長,然後在 NMOS 區域中去除,在這種情況下隻有 3-5 nm。如果有源fin高度約為 55 nm,總fin高度約為 120 nm,這是一個不同的挑戰,但考慮到臺積電在納米片器件上的所有工作,現在可以認為他們可以對 SiGe 與 Si 進行非常選擇性的蝕刻。不過,對臺積電而言,後續更大的問題是,如果使用這種方法,SiGe fin將比Si fin高幾十納米,增加後續加工的復雜性。

相關分析進一步指出,為使fin保持在同一水平,我想我們可以對 N 或 P 區域進行蝕刻,然後進行 Si 或 SiGe 外延加 CMP(或選擇性外延?),具體取決於蝕刻的區域;這似乎也不簡單。而且我認為我們會對 Si 和 SiGe 鰭片進行單獨的fin定義蝕刻,這聽起來也很混亂——也許fin蝕刻現在也是 EUV實現?

設計技術協同優化 (DTCO)

Mii 博士強調工藝技術開發如何演變為更加重視 DTCO,評估工藝復雜性和設計改進之間的權衡已成為工藝開發的一個組成部分。他強調最近采用的有源柵極接觸和單擴散中斷工藝步驟作為示例。他補充說:“DTCO 的工作不僅限於邏輯設計——存儲器和模擬電路也是 DTCO 評估的關鍵方面。”

臺積電表示,設計技術協同優化誠如其字面所示就是設計與制程技術尋求整合式的優化,來改善效能、功耗效率、電晶體密度、以及成本,在支援新的制程技術時通常歷經重大的架構創新,而非提供與前一代技術完全相同的結構,僅有做到更小而已。

他們指出,DTCO的果實絕非唾手可得,制程研發團隊與設計研發團隊一開始就必須攜手合作,針對下一世代技術的定義進行設計技術協同優化,兩個團隊必須保持開放的心態,探索設計創新與制程能力的可能性,許多創新的想法都在這個階段被提出來,其中有些想法可能太積極而無法借由既有技術實現,有些想法初步看起來可能很有潛力,但是結果卻沒那麼實用,設計技術協同優化的目的就在於定義真正有意義的調整,超越單純的幾何微縮,進而達成提升效能、功耗、面積的目標。

完成設計技術協同優化的參數定義之後,下一步則是尋出“制程窗口”的極限,借由密集來回的互動過程調整,定義制程的范圍邊界以達成最佳的效能、功耗、面積,並仍可以高良率大量生產。

為確保設計技術協同優化創新帶來的效能、功耗、面積優勢能夠應用在客戶的產品上,臺積公司與開放創新平臺聯盟之電子設計自動化夥伴攜手合作,使用的工具能夠精準符合新的制程設計法則,充分利用新的技術優化來進行設計最佳化並達成效能、功耗、面積的目標。

關於臺積電對DTCO的看法,參考文章《臺積電官方科普:什麼是DTCO?》

2nm時候引入的納米片

臺積電的 N2 是一個全新的平臺,廣泛使用 EUV 光刻技術,並引入 GAAFET(臺積電稱之為納米片晶體管)以及背面供電。新的環柵晶體管結構具有廣為人知的優勢,例如大大降低漏電流(現在柵極圍繞溝道的所有四個邊)以及調節溝道寬度以提高性能或降低功耗的能力. 至於背面電源軌,它通常旨在為晶體管提供更好的電力輸送,為後端 (BEOL) 中電阻增加的問題提供解決方案。新的電源傳輸旨方案在提高晶體管性能並降低功耗

從功能集的角度來看,臺積電的 N2 看起來是一項非常有前途的技術。至於實際數字,臺積電承諾 N2 將讓芯片設計人員在相同功率和晶體管數量下將性能提升 10% 至 15%,或者在相同頻率和復雜度下將功耗降低 25% 至 30%,同時,與N3E 節點相比,芯片密度增加 1.1 倍以上。

與 N3E 相比,臺積電 N2 節點帶來的性能提升和功耗降低與代工廠的新節點通常帶來的效果一致。但所謂的芯片密度提升(應該反映晶體管密度增益)僅略高於 10% ,這並不是特別鼓舞人心,特別是考慮到與普通 N3 相比,N3E 已經提供略低的晶體管密度。

未來半導體技術創新

在演講中,Mii博士隨後介紹針對未來應用需求的幾項半導體技術研發工作。

他首先談到的就是CFET (complementary FET)。

經過幾十年的平面 FET 器件技術,FinFET 也經歷相當長的壽命,從 N16/N12 到 N7/N6 到 N5/N4 到 N3/N3E。看看基於納米片器件的工藝節點如何發展將會很有趣。從Mii 博士的介紹我們可以看到,在納米片之後,臺積電專註於 CFET 器件的引入。

如下圖所示,CFET 工藝保留環柵納米片的優點,但垂直制造 pFET 和 nFET 器件。(圖中pFET在底部,nFET在頂部。)

在上面描述的反相器邏輯門的橫截面中,突出顯示兩個器件的公共柵極輸入和公共漏極節點。下圖擴展 CFET 器件堆疊所帶來的工藝開發挑戰,特別是對高縱橫比蝕刻和相關金屬溝槽填充的需求,以實現上面強調的垂直連接。

現在,研究 CFET 工藝開發的不同研究人員一直在追求兩條路徑:一種“順序”(sequential)工藝,其中 pFET 和 nFET 器件使用用於頂部器件制造的上部減薄襯底實現,該襯底在底部器件制造後接合到起始襯底,中間有一個介電層;

一種“單片”(monolithic)工藝,其中有一組外延層用於襯底上的所有器件。在工藝復雜性和熱預算、設備性能優化(在順序流程中使用多種基板材料)以及兩種方法之間的成本方面存在權衡。

雖然 Mii 博士沒有具體說明,但有關high AR 蝕刻和金屬填充的評論表明,臺積電的研發重點是單片 CFET 工藝技術。

有關CFET的更多介紹,參考文章《1nm後的晶體管,imec將CFET納入路線圖》

其次,二維晶體管材料是臺積電關註的另一個方向。

有積極的研究評估用於場效應晶體管溝道的“後矽”材料。如下所示,隨著器件柵極長度和溝道體厚度的減小,2D 材料提供改善載流子遷移率和亞閾值斜率的潛力(具有更低的漏電流和更低的 VDD 操作的潛力)。

2D 工藝開發的主要挑戰之一是為器件源極/漏極節點提供低接觸電阻連接。Mii 博士分享臺積電研究人員先前發表的結果,重點介紹對鉍 (Bi) 和銻 (Sb) 的評估——與之前發表的工作相比,Rc 降低 5 倍,如下所示。

在2021年,中國臺灣大學、臺積電與麻省理工學院(MIT)共同發表研究,首度提出利用“半金屬鉍”(Bi)作為二維材料的接觸電極,可大幅降低電阻並提高電流,使其效能幾與矽一致,有助實現未來半導體1納米的挑戰。

此次由臺大、臺積電與麻省理工學院(MIT)共同發表的研究,首先由美國麻省理工團隊發現在二維材料上搭配半金屬鉍(Bi)的電極,能大幅降低電阻並提高傳輸電流。隨後臺積電技術研究部門(Corporate Research)將鉍(Bi)沉積制程進行優化,臺大團隊並運用氦離子束微影系統(Helium-ion beam lithography)將元件通道成功縮小至納米尺寸,終於獲得這項突破性的研究成果。

臺大電機系暨光電所吳志毅教授進一步說明,使用鉍為接觸電極的關鍵結構後,二維材料電晶體的效能不但與矽基半導體相當,又有潛力與目前主流的矽基制程技術相容,有助於未來突破摩爾定律的極限。雖然目前還處於研究階段,但該成果能替下世代芯片提供省電、高速等絕佳條件,未來可望投入人工智能、電動車、疾病預測等新興科技的應用。

第三,BEOL 互連架構。

後端互連的縮放面臨著現有(鑲嵌:damascene)銅線效率較低的挑戰。鑲嵌溝槽中的Cu擴散阻擋層(Cu diffusion barrier,例如,TaN)和粘附襯墊(adhesion liner,例如,Ta)占據按比例縮放的導線橫截面的增加百分比。Cu 沉積晶粒尺寸(deposition grain size )也受到限制,導致更大的電子散射(electron scattering)和更高的電阻率。下圖突出臺積電為引入一種新的(subtractive-etched)BEOL 技術所做的研發工作。

通過subtractive metal 工藝,引入制造導線之間電介質的新機會——上圖說明相鄰電介質內的“air gap”橫截面。

臺積電卓越科技院士暨研發副總餘振華在之前曾表示,臺積的3D Fabric平臺已建立且率先進入新階段,已從異構整合、系統整合到現在的系統微縮,相關發展類似系統單芯片(SoC)的微縮,講究效能耗能與尺寸微縮,系統微縮新階段則是追求更高系統效能、更低耗能,以及更緊密尺寸變成體積上的精進。

餘振華提到,異構整合技術在臺積電從倡議到開花結果,已變成業界新顯學,將能為半導體提供更多價值。相信不論前段制程或後段制程產業都樂見半導體的這樣的發展。臺積電也觀察到,目前系統微縮類似SoC已從過去在效能、功耗及面積進一步升級,轉為追求體積微縮。

不過,相關技術發展也有兩大挑戰,第一個是成本控制,在成本控制上,因為先進封裝是微米等級,但目前制程早已進入納米,制程整合若運用臺積BEOL前段制程或傳統封裝設備切入都需要改善,比如銅制程設備成本就是一個挑戰,控制不是問題,但導線寬度大小、時間消耗都較多是成本問題。

第二則是精準度,餘振華說,借重BEOL前段制程來說,相關材料成本控制與效率是挑戰,但若用傳統後段的設備來做,則有精準度的挑戰,這兩種挑戰都是希望產業上下遊一起來努力,也由SEMI扮演中間者來共同推進。

有關BEOL,請參考文章《1nm的關鍵技術,IMEC公佈新進展》。

最後,臺積電談到二維導體(2D conductors)。

上圖顯示二維導體層的橫截面,以及與可比較的銅線厚度相比所產生的導電性優勢。

Mii 博士沒有詳細說明正在評估的具體材料。例如,有許多過渡金屬化合物在 2D 晶體拓撲中表現出高載流子遷移率,以及堆疊這些層的能力,這些層由van der Waals forces影響。

最後,Mii 博士以下圖顯示的幻燈片結束他的演講。他表示,未來的系統設計將利用以下技術:

  • 增加晶體管密度,例如 CFET 器件(以及以 DTCO 為重點的工藝開發);

  • 新型互連材料;

  • 在先進封裝中增加異構功能的集成,包括 2.5D 和 3D 配置中的chiplet和 HBM 堆棧;

  • 用於系統設計分區、物理實現和電/熱分析的新方法;

semiwiki表示,無論是對於設計師還是工藝技術工程師,現在進入這個行業都是一個激動人心的時刻。


相關推薦

2023-01-20

讓用戶乖乖掏錢。隨著AMD的銳龍處理器橫空出世,並且由臺積電代工制作,憑借制程工藝+架構的雙重優勢,AMD在處理器市場中上演一次驚天逆襲,短短3年時間就搶占大量的市場份額。回過味的英特爾從2019年開始加大制程工藝的

2022-07-19

就會增加一倍),直到現在還保有頑強生命力。7月14日,臺積電在投資者會議上表示,2納米制程將在2024年試產,2025年量產。與3納米制程芯片相比,相同功耗下,2納米芯片速度可以增加10%-15%;相同速度下,功耗可以降低25%-30%

2022-09-12

元晶圓廠終於正式開工,拜登親自出席道賀。面對三星和臺積電的制程優勢,英特爾真能“彎道超車”嗎?在美國2800億美元的“芯片與科學法案”通過一個多月之後,英特爾計劃已久的俄亥俄州200億美元的芯片工廠終於正式破

2023-05-06

據臺媒經濟日報報道,臺積電致股東營業報告書今(5)日上傳,報告提及臺積電技術發展,正為預計於2025年開始量產的2nm技術(N2)做準備,該制程技術將座落於新竹和臺中科學園區。技術發展方面,臺積電致股東營業報告書

2024-03-01

當前全球最大的晶圓代工商臺積電周四在官網公佈的消息顯示,董事會在當天舉行一次特別會議,批準提拔資深副總經理米玉傑、秦永沛為執行副總經理兼聯席首席運營官的任命。臺積電任命米玉傑和秦永沛為執行副總經理兼聯

2022-07-04

“臺積電會拿著日本納稅人的錢跑路嗎?”7月3日,《日經亞洲評論》以此為題目在報道中寫道,在日本政府提供巨額補貼的吸引下,臺積電先後宣佈在該國建立研發中心和制造工廠,這一點連美國都沒有做到。但是,按照雙方

2023-11-12

2014年6月,臺積電為加快10nm研發速度,啟動一項“夜鷹計劃”。具體而言就是組織一群專門值小夜班或大夜班的研發工程師,讓技術研發可以24小時不間斷進行,由他們所組成的小組,就被稱為“夜鷹部隊”。這項計劃,在當時

2024-04-25

幾個月前,臺積電發佈2023年年報,但顯然,文件中包含的關鍵信息被遺漏。在深入探討之前,我們先來談談臺積電的A14,或者說被許多分析師稱為技術革命的A14。臺積電宣佈,該公司終於進入"Angstrom14時代",

2024-03-09

在追趕臺積電的路上,三星又有新動作。前些天,三星宣佈將其第二代3nm制程工藝的命名改為2nm,並已經將此消息通知客戶和合作夥伴。去年,有報道稱該公司將更改該制程的名稱,現在,得到三星官方的確認。三星稱,該制程

2022-08-14

半導體公司在人力成本和企業稅方面落後於全球競爭對手臺積電。近日,韓國經濟研究所對三星電子和臺積電的基礎設施等競爭因素進行分析。三星電子在半導體代工領域與臺積電展開競爭,試圖在代工領域超越臺積電,但未能

2023-12-06

在充滿活力且競爭激烈的半導體技術領域,臺積電(NYSE:TSM)屹立不倒,以其先進的芯片制造節點樹立新標準。臺積電在開發和部署最先進的3納米和5納米技術方面的戰略策略鞏固其市場主導地位,並顯著提高其晶圓收入。這一

2023-04-21

雖然臺積電3nm芯片已經量產,但截止昨天,我們都沒有看到芯片公司發佈相關產品。到今天,這個局面終於被打破。美國芯片公司Marvell表示,公司基於臺積電3納米(3nm)工藝打造的數據中心芯片正式發佈。據Marvell介紹,公司在該

2024-04-03

營,如今英特爾正式劃清界限,英特爾代工也將更加直面臺積電、三星的競爭壓力。而通過將代工業務獨立核算,英特爾能夠更清晰地展示其在半導體制造領域的競爭力和盈利能力,同時也能夠更好地與業界進行業績對照,推動

2022-07-29

目前全球能做到2nm工藝的公司沒有幾傢,主要是臺積電、Intel及三星,日本公司在設備及材料上競爭力有優勢,但先進工藝是其弱點,現在日本要聯合美國研發2nm工藝,不依賴臺積電,最快2025年量產。日本與美國合作2nm工藝的消