2nm時代來臨:ASML本周交付第三代EUV光刻機


本周,ASML交付第三代極紫外(EUV)光刻工具TwinscanNXE:3800E,其投影鏡頭的數值孔徑為0.33。與現有的TwinscanNXE:3600D機器相比,該系統顯著提高性能。它專為制造采用前沿技術的芯片而設計,包括未來幾年的3nm、2nm和小節點。

ASML Twinscan NXE:3800E代表低數值孔徑 EUV光刻技術在性能(每小時處理的晶圓數量)和匹配的加工覆蓋方面的飛躍。新系統每小時可在 30 mJ/cm^2 劑量下處理超過 195 個晶圓,並有望通過吞吐量升級將性能進一步提高至 220 wph。此外,新工具還提供小於 1.1 nm 的匹配機器覆蓋(晶圓對準精度)。

ASML 在 X 上發佈的一份聲明中透露:“芯片制造商需要速度。第一臺 Twinscan NXE:3800E 現已安裝在一傢芯片工廠中。憑借其新的晶圓臺,該系統將為打印先進芯片技術提供領先的生產力。”我們正在將光刻技術推向新的極限。”

在為邏輯制造商生產采用4nm/5nm和 3nm 級工藝技術的芯片時,吞吐量的增加將提高 Twinscan NXE:3800E 機器的經濟效益。ASML Twinscan NXE:3800E 的性能改進預計將顯著緩解EUV 技術的主要缺點之一,即性能相對較低,從而實現更高效、更具成本效益的芯片生產。這將使依賴 EUV 的工藝技術更容易被預算不像蘋果、AMD、英特爾、英偉達和高通那樣龐大的芯片設計者所接受。此外,該工具對於美光、三星和 SK 海力士等內存制造商也至關重要。

此外,Twinscan NXE:3800E 的增強性能對於采用 2nm 以及需要 EUV 雙圖案化的後續制造技術制造芯片特別有用。匹配機器覆蓋層的改進將有利於 3nm 以下生產節點。


(圖片來源:ASML)

然而,像 NXE:3800E 這樣的機器的復雜性和功能的代價是高昂的成本,每臺的價格約為 1.8 億美元。如此高的成本意味著這些光刻工具的成本需要一段時間才能折舊。然而,對於 ASML 的客戶(包括一組精選的重要邏輯和內存制造公司)來說,NXE:3800E 提供一條增強其尖端芯片生產能力的途徑。這對於這些公司來說至關重要,因為他們努力滿足全球對半導體不斷增長的需求,擴大生產能力並管理芯片制造的經濟性。引入 NXE:3800E 等更先進、更高效的 EUV 掃描儀對於實現這些目標至關重要。

展望未來,ASML並沒有滿足於現狀,計劃以Twinscan NXE:4000F 的形式進行進一步創新,這是另一代低數值孔徑 EUV 掃描儀,預計於 2026 年左右發佈。這一持續開發強調 ASML 致力於推進低數值孔徑的承諾-NA EUV 制造技術,盡管即將采用高數值孔徑光刻工具。


相關推薦

2024-03-18

最近ASML(阿斯麥)交付第三代極紫外(EUV)光刻工具,新設備型號為TwinscanNXE:3800E,配備0.33數值孔徑透鏡。相比於之前的TwinscanNXE:3600D,性能有進一步的提高,可以支持未來幾年3nm及2nm芯片的制造。在ASML看來,Twinscan NXE:3800E代

2022-06-27

有報道指三星電子在阿斯麥獲得瞭十多臺EUV光刻機,並於本周起大規模生產3nm芯片,而2nm將於2025年量產。盡管量產2nm芯片依然還需時日,但此時此刻,臺積電、三星電子兩傢芯片大廠不約而同的尋求下一代EUV光刻機,意味著現

2022-06-28

對於芯片廠商而言,光刻機顯得至關重要,而ASML也在積極佈局新的技術。據外媒報道稱,截至2022年第一季度,ASML已出貨136個EUV系統,約曝光7000萬個晶圓已曝光。按照官方的說法,新型號的EUV光刻機系統NXE:3600D將能達到93%的可

2022-08-10

在業內,比Intel、臺積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。因為離得近,ASML的原型試做機,往往在完工後就第一時間送交I

2024-02-17

ASML已經向Intel交付第一臺高NAEUV極紫外光刻機,將用於2nm工藝以下芯片的制造,臺積電、三星未來也會陸續接收,可直達1nm工藝左右。那麼之後呢?消息稱,ASML正在研究下一代HyperNA(超級NA)光刻機,繼續延續摩爾定律。ASML第一代

2024-07-01

斯麥)公司產能的持續擴大,預計到2025年,EUV光刻機的交付量將實現30%以上的顯著增長。作為這一趨勢的直接受益者,臺積電正緊鑼密鼓地準備迎接這一供應潮。據解,ASML去年為滿足市場需求已計劃增產,預計今年將交付53臺EU

2023-04-19

去兩年,伴隨芯片的短缺、對華禁令等一系列重大事件,光刻機從一種不為人知的先進制造設備,一躍成為大眾的新聞熱點。在這當中,荷蘭光刻機廠商ASML幾乎是繞不開的存在。原因並不復雜,因為 ASML 是全球唯一一傢有能力

2022-09-28

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球隻有ASML公司能生產,現在NA0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的

2022-07-20

額69.77億歐元環比增長21%。本季度中,ASML公司出貨12臺EUV光刻機,比Q1季度的3臺大幅增長,之前因為種種原因限制出貨。值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得重大突破,已經收到來自供應商的物鏡

2023-06-18

快科技6月17日消息,在半導體工藝進入7nm節點之後,EUV光刻機是少不的關鍵設備,目前隻有ASML能制造,單臺售價10億人民幣,今年底還會迎來下一代EUV光刻機,價格也會大漲。光刻機的分辨率越高,越有利於制造更小的晶體管,

2022-10-20

麥)正抓緊研制其下一代高NA(0.55數值孔徑)的EUV極紫外光刻機,在發佈最新財報期間,AMSL透露,其存量EUV客戶均訂購新一代設備。具體來說,在Intel和臺積電之後,三星、SK海力士、美光等也下單高NAEUV光刻機。高NA EUV光刻機

2024-02-05

2023年10月,日本光刻機大廠佳能(Canon)正式發佈基於納米壓印技術(NIL)的芯片制造設備FPA-1200NZ2C,預計為小型半導體制造商在生產先進制程芯片方面開辟出一條全新的路徑。近日,佳能負責新型納米壓印設備開發的高管武石

2024-04-19

會議上表示:“關於 High-NA(即 0.55 NA EUV),我們向客戶交付第一個系統,該系統目前正在安裝中。” “我們本月開始發貨第二個系統,安裝也即將開始。”ASML 於 2023 年底開始向英特爾交付其首款高數值孔徑 EUV 光刻工具 ——T

2024-02-08

NAEUV光刻機,但是臺積電一直不為所動,可能要到1nm工藝時代才會跟進。Intel計劃將高NAEUV光刻機用於Intel18A後的制程節點,也就是超過1.8nm,時間大概在2026-2027年。Intel此前公佈的路線圖上,18A之後已經安排三個新的制程節點,但