ASML正研發超級NA光刻機 2036年沖擊0.2nm工藝


ASML已經向Intel交付第一臺高NAEUV極紫外光刻機,將用於2nm工藝以下芯片的制造,臺積電、三星未來也會陸續接收,可直達1nm工藝左右。那麼之後呢?消息稱,ASML正在研究下一代HyperNA(超級NA)光刻機,繼續延續摩爾定律。

ASML第一代Low NA EUV光刻機隻有0.33 NA(孔徑數值),臨界尺寸(CD)為13.5nm,最小金屬間距為26nm,單次曝光下的內連接間距約為25-30nm,適合制造4/5nm工藝。

使用雙重曝光,可將內連接間距縮小到21-24nm,就能制造3nm工藝,比如臺積電N3B。

第二代EUV光刻機提高到0.55 NA,臨界尺寸縮小到8nm,金屬間距最小約為16nm,可制造3-1nm,比如Intel就透露會在1.4nm節點上首次使用。

ASML CTO Martin van den Brink在接受采訪時確認,ASML正在調查開發Hyper NA技術,繼續推進各項光刻指標,其中NA數值將超過0.7,預計在2030年左右完成。

它表示,這種新型EUV光刻機適合制造邏輯處理器芯片,相比高NA雙重曝光成本更低,也可用來制造DRAM內存芯片。

ASML已披露的數據顯示,低NA光刻機的成本至少1.83億美元,高NA光刻機更是3.8億美元起步。

根據微電子研究中心(IMEC)的路線圖,2030年左右應該能推進到A7 0.7nm工藝,之後還有A5 0.5nm、A3 0.3nm、A2 0.2nm,但那得是2036年左右的事兒。


相關推薦

2022-06-28

行業需求所做出的巨大努力。按照之前的說法,ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。這款機器應

2023-02-12

有4年掌握5代CPU工藝之後,Intel還啟動未來兩代的CPU工藝研發,目標逼近1nm。Intel的5代CPU工藝分別是Intel 7、Intel 4、Intel 3、Intel 20A及Intel 18A,其中Intel 7在2021年的12代酷睿上首發,Intel 4會在下半年的14代酷睿上首發,還會首次用上E

2023-04-19

失誤。基辛格在接受采訪時就說過,英特爾曾是 EUV 技術研發的重要推手,包括 ASML 研發 EUV 光刻機也得到英特爾的不少幫助,但是在 10nm 節點上英特爾並沒有選擇 EUV 光刻路線,而是嘗試 SAQP 四重曝光技術生產先進工藝。之後的

2023-06-18

快科技6月17日消息,在半導體工藝進入7nm節點之後,EUV光刻機是少不的關鍵設備,目前隻有ASML能制造,單臺售價10億人民幣,今年底還會迎來下一代EUV光刻機,價格也會大漲。光刻機的分辨率越高,越有利於制造更小的晶體管,

2022-08-10

在業內,比Intel、臺積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。因為離得近,ASML的原型試做機,往往在完工後就第一時間送交I

2024-02-18

然解使用高數值孔徑EUV掃描儀的利弊,因此他們已經開始研發工作。“我們的客戶將在 2024-2025 年開始研發,並在 2025-2026 年進入大批量生產,”ASML 的一份聲明中寫道。ASML最近分享有關其新型High-NA設備的更多細節,以下是這些

2022-06-27

,導致電流就容易“漏出”。為瞭解決該問題,科研人員研發出FinFET工藝,增加柵極的接觸面積,減少電流漏電事件,同時芯片性能也能得到提升——類似“褲腰帶”變成“帶扣皮帶”的方案。而2nm使用的新的GAAFET結構,則是將

2023-12-02

。記得梁先生在2020年辭職信裡提到,3年多完成從28nm到7nm研發的神奇三級跳。這種跳躍並沒有設備上的不同,而是來自海峽對岸的工程師們在晶圓廠的know-how上面,做出奇跡般的傳遞。到現在,我們還沒開始討論EUV。現在大傢都

2022-06-27

臺積電在早前的技術大會上則表示,在全球已經安裝的EUV光刻機系統中,臺積電擁有瞭其中的55%。三星的實際控制人李在鎔日前則拜訪瞭荷蘭總統,以尋找更多的EUV供應。這再次說明,生產先進芯片必不可少的EUV成為瞭全球關註

2023-05-08

但是和大傢想象的那樣子還是不同的。飛利浦在實驗室裡研發出stepper的原型,但是不夠成熟。因為光刻市場太小,飛利浦也不能確認它是否有商業價值,去美國和P&E、GCA、Cobilt、IBM等談一圈沒人願意合作。有傢荷蘭小公司叫A

2022-09-28

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球隻有ASML公司能生產,現在NA0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一臺,不過下一代會更貴。光刻機制造芯片的關鍵指標就是光刻分辨率,其中鏡頭的

2023-03-30

力量,可見其重要性。(順便一提,ASML目前有計算光刻研發實習的職位招聘)問題來,計算光刻越來越難但是現在問題來,據NVIDIA先進技術集團副總裁Vivek K Singh的說法:“我在1993年加入光刻工作時,如果你想在晶圓上印一個十

2022-07-20

額69.77億歐元環比增長21%。本季度中,ASML公司出貨12臺EUV光刻機,比Q1季度的3臺大幅增長,之前因為種種原因限制出貨。值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得重大突破,已經收到來自供應商的物鏡

2022-10-20

麥)正抓緊研制其下一代高NA(0.55數值孔徑)的EUV極紫外光刻機,在發佈最新財報期間,AMSL透露,其存量EUV客戶均訂購新一代設備。具體來說,在Intel和臺積電之後,三星、SK海力士、美光等也下單高NAEUV光刻機。高NA EUV光刻機