全力押註18A工藝節點 率先接收新型極紫外光刻機 英特爾即將領先臺積電?


2021年10月,英特爾首席執行官帕特·基辛格表示,英特爾將在2025年之前從臺積電和三星代工手中奪回工藝領先地位。英特爾希望在行業代工領域挑戰臺積電和三星代工。合同代工廠從無晶圓廠芯片設計師那裡獲取芯片設計(無晶圓廠意味著他們不擁有制造設施,例如蘋果)並制造芯片。臺積電是全球領先者,其次是三星代工廠。

目前,臺積電和三星代工都在出貨3nm芯片,明年下半年,兩傢公司都可能量產2nm芯片。據Motley Fool報道,今年晚些時候,英特爾將使用其 20A 工藝(相當於臺積電和三星代工廠的 2 納米),該工藝將用於制造英特爾的 Arrow Lake PC 芯片。因此,到那時,英特爾將擁有工藝領先地位,並且隻有在明年英特爾推出其 18A 工藝節點(與臺積電和三星代工廠相比時相當於 1.8 納米)時,這種領先地位才會持續下去。後兩者將於明年下半年推出 2nm 節點。

英特爾的工藝節點將從今年的20A增加到2027年的14A

預計到 2027 年,當英特爾的 14A(1.4 納米)加入臺積電和三星代工廠的 1.4 納米產量時,所有人都將迎頭趕上。最重要的是,隨著工藝節點的縮小,這些芯片所使用的晶體管的尺寸會變得更小。這意味著一個組件內可以安裝更多晶體管。芯片內的晶體管越多,通常芯片的功能就越強大和/或能效越高。

但從今年晚些時候的 20A 生產開始,英特爾將憑借美國芯片制造商稱為 PowerVia(也稱為背面供電)的關鍵功能,在臺積電和三星代工廠方面領先一些。臺積電預計將在其 N2P 節點中使用這項技術,該節點將於 2026 年開始使用。三星代工預計將在明年推出的特定節點上使用背面供電,盡管三星代工尚未證實這一點。

那麼PowerVia是什麼?大多數為芯片供電的小電線都位於構成矽元件的所有層的頂部。隨著這些芯片變得越來越強大和復雜,頂部連接電源的電線正在與連接組件的電線競爭。這導致電力浪費和效率低下。

PowerVia 將給芯片供電的電線移動到芯片的背面。因此,時鐘速度可提高 6%,從而提高性能。再加上使用更先進的工藝節點帶來的性能提升,其結果是使用更強大的芯片來運行更強大的設備。

英特爾率先接收其高數值孔徑極紫外光刻機

英特爾首席執行官基辛格表示,“我把整個公司的賭註都押在18A上。” 英特爾預計其18A節點的性能和效率將超過臺積電的最佳水平。英特爾還與 Arm 簽署一項協議,允許 Arm 的芯片設計客戶擁有使用英特爾 18A 工藝節點構建的低功耗 SoC。上個月,英特爾同意使用其 18A 工藝為微軟打造定制芯片。四傢未透露姓名的大公司(尚不清楚微軟是否是這四傢公司之一)已簽約讓英特爾使用 18A 工藝生產其芯片。


相關推薦

2022-07-01

開始大規模生產基於3nmGAA(Gate-all-around,環繞柵極)制程工藝技術的芯片,這也使得三星搶先臺積電成為瞭全球首傢量產3nm的晶圓代工企業。三星量產3nm GAA制程,上海磐矽為首批客戶2021年6月,三星就率先宣佈其基於GAA技術的3nm

2022-06-27

速前進的根本動力。但隨著芯片制程進入到5nm、3nm,很多工藝結構的設計已經開始接近於原子層面,對設計的精度、良率都有很高的要求,也使得技術的突破變得愈加困難。因此,如今的芯片微縮,則更加依賴光刻機技術以及新

2022-07-19

格局或將重新洗牌,這也是諸多企業甚至國傢和地區決定押註2納米的原因之一。除老玩傢臺積電和三星,另一個傳統芯片據歐英特爾在2021年7月公佈最新技術路線圖,稱將在其2納米制程上轉換新的技術結構,以增強新制程的競

2024-03-12

英特爾透露下一代14A和14A-E工藝節點的更多細節,與18A相比,這些節點的性能和效率都有大幅提升。英特爾最近在其路線圖中增加幾個新的工藝節點,其中包括14A和10A。英特爾藍色團隊在其IFSDirect2024活動中簡要提到後者,其目標

2024-04-19

使用此類機器,並將將該系統與英特爾一起投入14A 制造工藝的大規模生產。這還需要幾年時間。通過盡早開始研究基於高數值孔徑 EUV 的工藝技術,英特爾將能夠制定下一代光刻的行業標準,這有望在未來幾年成為競爭優勢。ASM

2024-04-01

的典型企業,一個設計,一個生產,而且都聚焦先進制程工藝,珠聯璧合,成為當下半導體行業最搶眼的存在。相比之下,老牌的IDM企業,並穩定在各自領域內排名前三的企業,有兩大代表,一個是英特爾,一個是德州儀器(TI

2024-02-14

達3.5億歐元的HighNA極紫外光(EUV)光刻機設備,除英特爾率先取得設備之外,臺積電及三星訂購的新機器預計最快2026年陸續到位,屆時HighNAEUV機器可望成為全球三大晶圓制造廠搶進2納米以下先進制程的必備武器。媒體報道,ASML

2023-12-02

從7nm到5nm,從5nm到3nm,半導體產業對於先進工藝制程的追求永不停歇。2022年,當臺積電宣佈已經掌握成功大量量產3nm鰭式場效電晶體制程技術後,1nm開始一步步逼近。對於先進工藝的掌握,意味著更高的性能、更頂尖的技術。

2024-02-26

勒岡州的廠區時,半導體行業開始討論未來英特爾在芯片工藝制程上趕超臺積電的可能。然而,英特爾向世界表露遠不止於此的野心。北京時間2月22日凌晨,英特爾召開首屆Intel Foundry Direct Connect大會,與以往側重產品展示和技

2024-05-11

這幾年,Intel以空前的力度推進先進制程工藝,希望以最快的速度反超臺積電,重奪領先地位,現在又重申這一路線,尤其是意欲通過未來的14A1.4nm級工藝,在未來鞏固自己的領先地位。目前,Intel正在按計劃實現其“四年五個制

2024-04-12

爭中支持日本,而是轉向支持矽谷集團和阿斯麥。阿斯麥全力投入到EUV技術的研發中,並在接下來的幾年裡深入挖掘其技術潛力。2001年,阿斯麥斥資11億美元收購矽谷集團,從而在競爭中取得優勢。當時,阿斯麥預計到2006年EUV

2024-02-08

代高NAEUV光刻機,但是臺積電一直不為所動,可能要到1nm工藝時代才會跟進。Intel計劃將高NAEUV光刻機用於Intel18A後的制程節點,也就是超過1.8nm,時間大概在2026-2027年。Intel此前公佈的路線圖上,18A之後已經安排三個新的制程節點

2022-07-03

年將在全球新蓋五座新廠,而位於臺灣竹科晶圓20廠就在全力押註2nm,這一工廠已於4月啟動租賃程序。三星則在最近宣佈在未來五年內,將在半導體等關鍵行業投資共計約2.34 萬億元,並誓言2025年量產2nm。這場馬拉松式的賽跑看

2022-08-20

行為,兩傢領先的代工廠都放任客戶聲稱他們采用4納米工藝,而實際使用的卻仍是5納米技術。這種情況讓雙方均形象受損,尤其是代工廠。而這背後,也意味著晶體管微縮技術發展的放緩。許多人偶爾會謊報自己的年齡或體重