先進制程“喂飽”臺積電


沒想到歷史的饋贈這麼快就標註瞭新的“價格”。臺積電不止在代工市場上再次力壓三星,據韓媒《BusinessKorea》指出,2022年目前為止,臺積電在全球代工市占率達到56%,較去年同期的53%繼續上升,排名第二的三星則有16%的市占率,但較去年下跌2%。三星“千年老二”的位置看來仍將持續坐穩。

更稱奇的是,在營收層面更將比肩英特爾。據報道營收已連續十個季度上揚的臺積電,在第二季度有望超過英特爾,成為僅次於三星電子的全球第二大半導體廠商。盡管雙方模式不一,臺積電是純代工,英特爾采用IDM,但這一超越對臺積電來說或許有非比尋常的意義。

“飛躍”背後

在2022年第一季度,臺積電營收為175.7億美元,英特爾為184億美元,雙方的差距已在毫厘之間。

對於第二季度,臺積電在4月14日的財報中披露預計營收為176-182 億美元,毛利潤率預計在 56%-58%之間。而英特爾預計第二季度營收約180億美元,就業績預期而言,臺積電二季度的營收將有望超過英特爾。

如能實現,就將是臺積電自1986年成立以來,首次在季度營收上超過英特爾。

要知道,在2020年第一季度,臺積電的營收為103.1億美元,與英特爾198億美元相比似乎還“遙不可及”。但在之後在9個季度的時間中,臺積電營收一直穩步線性增長;而英特爾由於業務結構問題,營收在波動中保持平穩。在僅9個季度之後,臺積電就實現趕超之勢,端得厲害。

有數字為證,在2020年第一季度之後的8個季度,臺積電的營收分別為 103.8、121.4、126.8、129.2、132.9、148.8、157.4和175.7億美元。英特爾由於業務結構問題,營收在波動中平穩,從2020年第一季度到今年第一季度,其營收波瀾不興地分別為 198、197、183、200、197、196、192、205和184億美元。

不得不說,臺積電的先進制程居功至偉,在2020年第一季度,7nm制程占比為35%;而截至2022年第一季度,最新的5nm和7nm先進節點已為其營收貢獻瞭“半壁江山”。

對此,北京半導體行業協會副秘書長朱晶認為,臺積電從2020年第一季度之後連續9個季度營收都快速增加,並且季度收入將超英特爾,一方面表明臺積電在產能特別是先進工藝產能的優勢顯著,另一方面現在代工的這些訂單,往往是設計企業在半年以前甚至更久之前開出的訂單,目前還相對滿載,充分表明過去兩年代工業的高景氣度以及臺積電的實力。

“臺積電在代工方面不斷研發出新的工藝節點,加上先進工藝的擴產,使季度營收在近兩年保持著一個較高的增長率。英特爾由於工藝節點研發的一些阻礙,導致其季度營收一直處於一個上下波動的范圍,在對第二季度的營收預測中臺積電有望超過英特爾,這歸功於臺積電在代工領域工藝節點的領先。”集微咨詢資深分析師陳翔指出。

營收以及市占率的增長也反映出對於代工先進工藝研發的重要性,也正面體現瞭其在代工業的實力,可以帶來更多的客戶、訂單以及收益。”陳翔強調說。

相較之下,欲在代工市場上三星一直誓言與臺積電“扳手腕”的夢想看似愈發遙遠瞭。

除市占率即將挑戰六成之外,臺積電的收入也在繼續提升,今年5月營收1857億元創下新高,也較去年同期暴增65.3%,但三星在今年首季的晶圓營收卻有下降,幅度約為4%。

特別是以先進制程來看,臺積電的市占率更是驚人,僅臺積電一傢就占瞭蘋果、Amazon、Google芯片的九成產能。摩根大通預估,臺積電5nm在未來幾年都將有9成左右的市占率,而3nm也將達8-9成,仍是短期內難以撼動的代工龍頭。

仍否持續?

盡管這兩年全球蔓延的產能緊缺讓臺積電站上瞭C位,但今年消費電子需求疲軟已是板上釘釘,三星等終端廠商更已開始放緩甚至停止第三季度手機、電視和其他消費電子設備的芯片采購,設計廠商也在頻頻砍單,加之擴建和新建產能將陸續釋放,一松一緊之下,對於智能手機占比營收超過四成的臺積電,氣勢如虹的高增長是否依然能持續?產能稼動率還能如之前那麼滿載嗎?

對此,朱晶分析,從第一季度開始消費電子走向疲軟,對於代工來說則有一定的滯後性,因代工廠承接的是之前下的訂單,而設計廠商第一季度的砍單對代工廠影響可能要到第三或第四季度甚至明年才能顯現。此外,由於先進工藝產能受設備供應緊張的影響,產能開出也沒預期快速。因而,一時還不太會影響臺積電的營收。

很多Fabless為瞭搶產能提前下瞭訂單,所以Foundry對下遊需求衰退的感知一般要晚幾個月。臺積電在某些節點上也會感受到涼意,但大概率不會在今年。”朱晶補充道。

此外,要看到的是,今年需求疲軟的應用集中於PC、智能手機等消費類產品,但汽車電子、HPC、物聯網、服務器等芯片訂單依然強勁。

因而陳翔斷言,消費電子需求放緩對於臺積電會有一定的影響,但MCU、電源管理等需求仍然強勁,而且HPC芯片市場的需求依然強勁,臺積電在財報中也多次提到HPC在營收中起到主導作用。臺積電產能利用率可能會有波動,但即便下降應該也是小范圍的,臺積電應能抗過這一周期。

而一切猜想都在臺積電的“漲價”潮中戛然而止。最近有消息稱,臺積電已確定從2023年1月起,大多數制程的價格將上漲約6%。加上之前的漲價幅度,這也意味著明年增量訂單有可能較今年的價格高出26%。有消息人士透露,臺積電大客戶訂單尚未大幅削減,預計到今年年底,客戶訂單仍將占產能95%以上。且半導體設備交貨周期延長,代工產能擴張並不如預期那麼爆漲。

看起來臺積電的榮光仍將持續,但如一位業內人士所言,盡管目前因代工市場增長快速,臺積電營收上漲,但將來或有新的反轉,次超越並不能定終身,在產業中的強勢地位需要看長期、看綜合。至少目前絕不能說全面超越,還要再看十年以上。

爭奪變數?

盡管三星和英特爾在代工層面暫居於下風,但三方的爭奪大戲還遠未到終局。三方不止在大手筆投資擴建上不遺餘力,在先進制程的爭奪上更是你來我往。

圖源:英特爾

不過要看到的是,英特爾最近因美芯片法案的推遲,表示將推遲俄亥俄州一傢計劃投資數十億美元的代工廠的開工儀式。

對此,陳翔認為,美國在芯片制造方面的競爭力已經有一定的下滑,英特爾在代工方面也已經落後於三星和臺積電,希望通過芯片法案的補貼來為工藝研發提供支持來助力在先進工藝上的擴張,法案的推遲會對英特爾帶來一定的壓力,後續要看芯片法案的進程以及後面美國政府對半導體行業的政策措施,如果政府對其大力支持,會在一定程度上幫助英特爾在代工先進工藝方面趕上三星及臺積電。

朱晶從全局影響入手說,美芯片法案對三大巨頭多少都有一些影響,因為這三大企業在美國都有相應的投資,或影響他們在美投資建廠的交付時間和產能開出時間。

在先進工藝層面,3nm層面看似三星占瞭先機。據報道三星電子最快將於本周開始進行3nm試產,緊趕慢趕終於趕在2022年上半年兌現承諾,但有分析稱實際利用3nm工藝進行大規模量產的時間還有待觀察。但臺積電也在言之鑿鑿下半年力推3nm,英特爾也在著力發揮後發優勢,究竟誰能笑在最後?

不止如此,圍繞2nm的搶位賽已在如火如荼展開。臺積電已宣稱今年將在全球新蓋五座新廠,而位於臺灣竹科晶圓20廠就在全力押註2nm,這一工廠已於4月啟動租賃程序。三星則在最近宣佈在未來五年內,將在半導體等關鍵行業投資共計約2.34 萬億元,並誓言2025年量產2nm。

這場馬拉松式的賽跑看來一時還難分勝負。但無疑,三大巨頭在3nm及以下的爭奪都與光刻機強相關,尤其是最新一代高數值孔徑High-NA EUV。此外,在晶體管結構、材料、封裝等層面的競奪更是看不見的硝煙。

從工藝層面來看,英特爾作為IDM,采用的工藝會結合自身的設計需求,註重材料和架構層面的創新,與臺積電、三星會有所不同。目前來看,英特爾的先進工藝進階計劃非常宏大而激進,而三星和臺積電進展相對較快,但以基本面觀察,臺積電在制程、良率與客戶關系等三大領域皆優於三星。”朱晶判斷說。


相關推薦

2024-02-15

米強化版制程投片量可望比去年大增逾五成,並包下大量先進封裝產能,挹註臺積電營運熱轉。臺積電向來不評論單一客戶與訂單動態。業界人士透露,蘋果看準AI大趨勢,今年不僅將大幅強化M3、A17處理器AI算力,新一代M4、A18

2023-01-04

據臺媒電子時報報道,半導體設備業內人士指出,臺積電先進制程訂單飽滿,除蘋果、高通等既有客戶以外,目前,Google、特斯拉均已傳出將投片臺積電。消息人士指出,臺積電近期已成為網通大廠、汽車以及手機大廠的投片首

2023-03-06

圓產能報告》顯示,截至2022年底,三星擁有全球最大的先進制程及次先進制程產能;臺積電則是全球最大的晶圓代工廠商,擁有全球最大的成熟制程產能;德州儀器是全球最大模擬芯片供應商,擁有全球最大的大線寬制程產能

2023-04-22

到31%,7nm占比為20%,16nm占比13%,28nm占比12%。7nm及以下的先進制程的營收占比達到51%,但是較上個季度的54%有所下滑。從應用來看,HPC營收占比最高,為44%,智能手機占比34%,IoT占比9%,汽車電子占比7%。從增速來看,僅汽車電子

2022-07-01

爾將在2nm引入GAA技術一直以來,為瞭追趕臺積電,三星在先進制程的推進上一直都比較激進。相比之下,作為業界龍頭的臺積電則一直比較穩健。臺積電在3nm制程工藝上並沒有選擇GAA架構的晶體管,而是依然采用FinFET(鰭式場效

2022-06-27

近期,2nm等先進芯片發展備受行業關註。6月17日臺積電舉行的技術論壇上,晶圓代工龍頭臺積電(TSMC)首次披露,到2024年,臺積電將擁有阿斯麥(ASML)最先進的高數值孔徑極紫外(high-NAEUV)光刻機,用於生產納米片晶體管(GA

2024-03-04

米。但新報告指蘋果努力開發3納米下代芯片,著眼於更先進的2納米技術。雖未證實,但考慮到蘋果一向支持臺積電先進制程,仍然值得留意。蘋果此前已經采用臺積電的5納米技術,在其M3 Mac芯片和iPhone 15 Pro系列的A17 Pro芯片中

2024-05-06

術論壇,發佈其最新半導體制程技術A16(1.6nm)、下一代先進封裝和3D芯片技術等6大半導體技術創新,引發業界關註。在全球發展人工智能(AI)的熱潮之下,臺積電憑借其領先的芯片技術、穩定擴增的產能,成為英偉達等AI芯片

2022-07-15

收,在今年二季度仍低於7納米,也就意味著臺積電這一先進的制程工藝在量產兩年後,仍未取代7納米工藝,成為他們的第一大營收來源。關於3納米制程,臺積電表示仍按時程將在下半年投產。而更先進的2納米制程目前正按進

2024-04-01

務模式下的典型企業,一個設計,一個生產,而且都聚焦先進制程工藝,珠聯璧合,成為當下半導體行業最搶眼的存在。相比之下,老牌的IDM企業,並穩定在各自領域內排名前三的企業,有兩大代表,一個是英特爾,一個是德州

2022-07-19

導體產業發展的目標,其中明確寫道,截至2030年,歐洲先進和可持續半導體的生產總值將至少占全球生產總值的20%,攻克2納米工藝。一場新的競爭已經開始。目前對於2納米制程還處於研發階段,隻是在頭部效應顯著的半導體行

2024-02-18

廠一般隻會轉移次兩代以下的節點,舉例說臺積電目前最先進為3nm,那麼轉移到日本最高隻能是16nm,但現在直接宣稱將3nm工藝轉至日本,這非常不合情理,基本是將核心技術Know-how拱手相讓。但從時間線來看,可能還不會那麼“

2023-11-08

臺灣的晶圓代工市場份額將降至42%,韓國也將降至10%。從先進制程和成熟制程的產能占比變化趨勢來看,2022年二者的占比分別為29%和71%,預計未來數年,仍將大致保持3:7的比例。從各區域先進制程產能分佈來看,2022年中國臺灣

2022-06-29

年年初曾有報道稱臺積電全面上調代工價格,16nm及以下先進制程工藝的代工價格上調8%-10%,28nm及其他成熟制程工藝的代工價格上漲約15%。不過,外媒在報道中,並未提及臺積電明年1月份的漲價,是否包括今年下半年量產的3nm制