三星與ASML達協議 采購下一代 High-NA EUV曝光機


韓媒《BusinessKorea》周四(30日)報導,三星電子和ASML就引進今年生產的EUV曝光機和明年推出高數值孔徑極紫外光High-NAEUV曝光機達成采購協議。

三星電子副董事長李在鎔本月初赴歐洲之行,他在 6 月 14 日前往 ASML 位於荷蘭 Veldhoven 的總部拜訪執行長 Peter Wennink ,兩傢公司簽署一項協議,將引進今年生產的 EUV 曝光機和計劃於明年推出高數值孔徑極紫外光 High-NA EUV 曝光機。

High-NA EUV 曝光機設備精密度更高、設計零件更多,是延續摩爾定律的關鍵,推動 2 奈米以下制程電晶體微縮,估計每臺要價 4 億美元 (5000 億韓元)。

ASML (ASML-US) 今年隻能生產 50 臺 EUV 設備,交貨周期為 1 年又 6 個月,ASML 有限的生產能力和較長的交貨時間正加劇各大晶圓代工廠訂購 High-NA EUV 曝光機的競爭。

李在鎔此次歐洲行就是要積極確保穩定供應,期許在先進制程上追趕臺積電,搶坐市占第一寶座。

英特爾 (INTC-US) 早前已率先與 ASML 簽約采購 5 臺這款新設備,還宣稱 2024 年初就能生產 20A (2 奈米)、2024 年下半更能生產 18A (1.8 奈米)。臺積電也在 6 月 16 日美國矽谷研討會上表示,臺積電 (2330-TW)(TSM-US) 會在 2024 年擁有 ASML 次世代最先進的曝光機。

該報導指出,High-NA EUV 曝光機應用於其晶片制程的具體時間尚未確定,但考慮到交貨時間,預計三星將從 2024 年開始實際使用這項設備。

近期一些市場觀察人士呼籲韓國政府對半導體業提供更多支持,前三星電子半導體研究所所長 Kim Kwang-gyo 近期表示韓國政府對半導體產業過於輕視,若不果斷擴大投資和解決半導體產業問題,韓國半導體業會面臨死路一條的局面。

三星電子已獲得 ASML 今年 EUV 曝光機產能中的 18 臺。這意味三星僅在 EUV 曝光機上就將投資超過 4 兆韓元。一位業內人士表示,如果三星采購 10 臺 High-NA EUV 曝光機,三星將花費超過 5 兆韓元,政府有必要擴大支持,以提高韓國國傢產業競爭力。


相關推薦

2024-02-18

析師認為,至少對於一些芯片制造商來說,使用該公司的下一代High-NA(高數值孔徑)光刻設備在財務上意義不大。在最近接受BitsandChips采訪時,ASML首席財務官表示,High-NA正在走上正軌且健康,該分析公司低估它的好處。在該公

2022-06-27

快0.55 NA光刻機的進度。而繼英特爾表示將在2025年使用上High-NA光刻機之後,臺積電在日前也將High-NA光刻機的應用時間放在2024年。這無疑是大大提升瞭先進EUV光刻機的應用時間。因為從相關資料可以看到, 0.33 NA的常規 EUV 光刻機

2024-04-19

英特爾宣佈,已開始組裝阿斯麥(ASML)的高數值孔徑(High-NA)極紫外(EUV)光刻機,這是其超越競爭對手的重要一步。英特爾是首傢購買阿斯麥新一代光刻機的公司,這臺機器的售價高達3.5億歐元(約合3.73億美元)。盡管存在

2024-04-19

套高數值孔徑EUV光刻系統。該公告凸顯領先芯片制造商對下一代極紫外(EUV)光刻技術的濃厚興趣。與此同時,尚不清楚ASML的哪傢客戶是第二傢獲得具有0.55數值孔徑投影光學器件的EUV工具的公司。ASML 首席商務官 Christophe Fouquet

2023-12-02

。去年范登佈林克在接受采訪時,曾暗示目前即將出貨的High-NA EUV光刻機(NA=0.55)可能是ASML最後一代產品。雖然業界已經開始在討論Hyper-NA(超NA,即NA>0.7),但老范認為它的成本會恐怖到不可能,大概原因是他能看到這一代產品已

2023-04-19

特爾還是選擇加大購買力度,包括去年搶 ASML 新光刻機 High-NA EUV 的首發訂單,也是為保證 18A 節點的順利推進。(High-NA 即高數值孔徑,從當前的 0.33 提升到 0.55,從而允許更小的工藝制程和更高的生產效率。)而按照 ASML CEO Pete

2022-09-27

ink接受Bits & Chips的采訪。據Martin van den Brink介紹,開發High-NA EUV技術的最大挑戰是為EUV光學器件構建計量工具,配備的反射鏡尺寸為此前產品的兩倍,同時需要將其平整度控制在20皮米內。這種需要在一個“可以容納半個公司

2024-03-18

大的成本為代價,每臺大概在1.8億美元。不過比起新一代High-NA EUV光刻機的報價,顯然還是要低很多。此前有報道稱,業界首款采用High-NA EUV光刻技術的TWINSCAN EXE:5200光刻機報價達到3.8億美元。ASML還會繼續推進Low-NA EUV光刻設備的

2022-06-27

時此刻,臺積電、三星電子兩傢芯片大廠不約而同的尋求下一代EUV光刻機,意味著現在“2nm技術戰”已經打響。“到瞭未來的技術節點,間距微縮將減緩,矽晶體管似乎隻能安全地微縮至2nm,而在那之後,我們可能就會開始使用

2024-02-14

EUV)光刻機設備,除英特爾率先取得設備之外,臺積電及三星訂購的新機器預計最快2026年陸續到位,屆時HighNAEUV機器可望成為全球三大晶圓制造廠搶進2納米以下先進制程的必備武器。媒體報道,ASML近期對外秀出High NA EUV光刻機

2022-06-28

入使用,2026年到2030年主力出貨。這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。

2024-05-08

三星和 SK 海力士預計將在明年下半年才能獲得該設備。High-NA EUV光刻機能夠在半導體上蝕刻出僅8nm寬的線條,是上一代產品的1/1.7。更細的線條意味著芯片可以容納更多的晶體管,從而實現更快的處理速度和更高的存儲容量,這

2024-07-01

,更是規劃90臺EUV光刻機、600臺DUV光刻機以及20臺前沿的High-NA EUV光刻機。這一雄心勃勃的產能規劃,無疑將為包括臺積電在內的全球芯片制造商提供更強大的技術支持。然而,值得註意的是,EUV光刻機的供應目前仍面臨緊張局面

2024-02-17

可直達1nm工藝左右。那麼之後呢?消息稱,ASML正在研究下一代HyperNA(超級NA)光刻機,繼續延續摩爾定律。ASML第一代Low NA EUV光刻機隻有0.33 NA(孔徑數值),臨界尺寸(CD)為13.5nm,最小金屬間距為26nm,單次曝光下的內連接間距約為25-30