日本研發、量產2nm工藝被質疑:35億投資不夠臺積電“塞牙縫”


在籌劃近一年之後,日本的2nm先進工藝項目差不多水落石出,作為曾經的半導體一哥,制造2nm及以下先進工藝對日本來說非常重要。

日前至少8傢日本公司已經聯合投資成立新公司,名為Rapidus,由日本東京電氣(Tokyo Electro,全球半導體設備大廠)的前社長東哲郎等人主導,吸引日本豐田、索尼、鎧俠、NEC、軟銀、電裝等8傢公司聯合投資,每傢出資10億日元。

根據他們的計劃,這傢公司預計在2020年代末建立一條生產線,而且還會從事代工業務,2030年左右開始給其他芯片企業提供代工服務。

日本最終的計劃是擺脫對臺積電等晶圓代工企業的依賴,自己掌握先進工藝研發及生產。

然而日本的2nm工藝計劃一直也是爭議不斷,要想搞定這個項目,至少有三個大問題要解決。

第一是技術,2nm及以下工藝需要GAA技術晶體管,然而日本現在連FinFET晶體管工藝都沒有生產能力,針對此問題,日本的計劃是跟美國的IBM合作,後者之前在全球展示2nm工藝芯片。

但是很奇怪,雖然日本官方及媒體都篤定IBM會跟他們合作,但是IBM官方目前都沒有回應過這個2nm項目。

即便技術問題解決,但是研發的錢呢?日本官方雖然有龐大的資金投入,但涉及多個領域,2nm項目中現在投入的資金是700億日元,人民幣約合35億元。

聽上去很多,然而這些費用都不夠三星、臺積電一個月的研發費用,臺積電今年就算是削減投資,全年的資本開支也有360億美元,算下來每個月是30億美元,雖然大部分是購買設備、材料的錢,但研發費也足夠超出日本的投資。

指望這些錢就想搞2nm工藝研發以及制造,這遠遠是不夠的。

當然,日本的2nm計劃還有個更致命的問題,研發出來之後給誰用?臺積電的2nm主要客戶也是蘋果,也隻有蘋果這樣的客戶才有這麼極端的需求和資金,日本公司在智能手機上沒有這樣的需求,造出來如何讓客戶購買來收回投資呢?


相關推薦

2022-07-05

20世紀80年代,是日本半導體制造的黃金時代。在最鼎盛的1988年,日本半導體產品占據世界總產量的近一半,是名副其實的生產大國。1990年,全球十大半導體廠商榜單中,日本企業高達6席。彼時,長達十餘年的黃金時代,造就

2022-07-29

到2nm工藝的公司沒有幾傢,主要是臺積電、Intel及三星,日本公司在設備及材料上競爭力有優勢,但先進工藝是其弱點,現在日本要聯合美國研發2nm工藝,不依賴臺積電,最快2025年量產。日本與美國合作2nm工藝的消息有段時間,

2022-07-15

6月中旬,臺積電在2022年技術論壇上正式公佈3nm及2nm工藝的路線圖,其中2nm工藝會使用GAA晶體管,技術進步非常大,但是晶體管密度提升有限,隻有10%,遠遠達不到正常摩爾定律迭代的要求。對於這個問題,在昨天的臺積電財報

2023-01-27

1月26日,據日經新聞報道,日本半導體企業Rapidus計劃最早在2025年上半年之前建立2納米半導體試產線,並最快於2027年實現量產,以盡快追上臺積電等世界級半導體廠商的步伐,而後者計劃將於2025年量產2nm制程工藝。Rapidus成立於

2023-01-30

在半導體領域,日本曾經是世界一哥,80年代甚至打得美國公司無力競爭,Intel退出內存芯片轉向CPU也是被日本公司逼得,隻不過日本最近20多年錯過半導體技術,尤其是先進制造工藝上已經落伍。日本這兩年也重燃半導體雄心,

2024-03-09

片。通過更名,三星將2nm量產時間提前。據悉,三星獲得日本人工智能創業公司Preferred Networks(PFN)的人工智能(AI)芯片訂單,將采用2nm制程。業界分析認為,三星之所以能拿到PFN的2nm訂單,是因為其具備存儲器和晶圓代工服

2022-06-27

,導致電流就容易“漏出”。為瞭解決該問題,科研人員研發出FinFET工藝,增加柵極的接觸面積,減少電流漏電事件,同時芯片性能也能得到提升——類似“褲腰帶”變成“帶扣皮帶”的方案。而2nm使用的新的GAAFET結構,則是將

2022-06-27

一場馬拉松,而不是短跑沖刺。誰能在未來十年繼續投入研發,且執行得好,誰就有望贏得最大的回報。年初至今,臺積電跌超33%,英特爾跌超27%,三星跌超25%。臺積電:未來光明,更具成長屬性摩根士丹利指出,臺積電經營著

2023-06-30

藝代工的同時,也會重點推進他們更先進的2nm制程工藝的研發及量產。目前,臺積電的2nm工藝技術研發已經基本完成,將首次上馬GAA全環繞柵極技術,工廠還在建設中,預計2024年試產、2025年量產。與7nm、5nm、3nm等已經量產的制

2024-02-07

,全球半導體制造龍頭臺積電(TSMC)發佈公告,宣佈向日本、美國的海外子公司增資,以及市場期待已久的“日本二廠”。根據臺積電董事會決議,核準以不超過52.62億美元的額度增資日本先進半導體制造公司(JASM),另外核

2023-01-12

說會,在提問環節,臺積電CEO魏哲傢表示,公司正考慮在日本建設第二傢工廠,正考慮在歐洲建設汽車芯片廠,準備在2025年量產2nm芯片。去年12月,有消息稱臺積電就首傢歐洲工廠與供應商進行談判,計劃在德國德累斯頓市建立

2023-05-06

不過沒說具體情況,畢竟臺積電的2nm工藝要到2025年才能量產,但首發的主要是蘋果,AMD恐怕要到2026年才能用上2nm工藝。基於之前泄露的路線圖,AMD的2nm工藝很可能首發於Zen6架構,代號Morpehus(摩耳甫斯,古希臘神話夢神),在

2023-04-20

半年會放量,同時還有更低成本但密度有所減少的N3E工藝量產。再往後還有2nm工藝,臺積電表示客戶對2nm工藝熱情高漲,將按計劃在2025年量產,但沒有提及具體的客戶信息,不出意外還是蘋果首發。臺積電的2nm工藝將放棄FinFET

2022-07-01

始增加產能。接下來四代先進制程是由兩個團隊同時進行研發,一個是負責Intel 4 及改良版Intel 3 制程,另一個團隊負責Intel 20A 及18A 制程。根據規劃Intel 20A依舊會在2024年上半年量產,而Intel 18A 制程將提前半年在2024年下半年量產