目標2納米下一代芯片 美日“化敵為友”攜手


“命運竟是如此奇異!”當昔日在半導體領域是競爭對手的美國與日本如今要攜手研發下一代芯片時,日本經濟產業大臣萩生田光一感慨萬千。據外媒報道,在7月29日的美日外長級與商務部長級官員會談上,美日宣佈,將針對新一代半導體研究,啟動建立一個“新的研發機構”。

這是美日首次舉行“經濟版”的2+2會談。參與會談的雙方包括美國國務卿佈林肯、商務部長雷蒙多、日本外務大臣林芳正以及萩生田光一。

盡管會談後雙方並沒有通過正式聲明對這一半導體領域的“新研發機構”透露過多細節,但據日媒報道,該機構將於今年年底在日本成立,用於研究2納米半導體芯片;該機構還將包括一條原型生產線,並將於2025年開始量產。同時,日本的產業技術綜合研究所、理化學研究所、東京大學等將合作設立研究基地。

目前,絕大部分用於智能手機的10納米以下半導體晶片都是由中國臺灣生產。

世界半導體貿易統計組織 (WSTS)發佈的最新預測數據顯示,2022年,全球半導體市場預計將增長16.3%,達6460億美元的規模;到2023年盡管增速有所放緩,但仍將保持5.1%的正增長。2023年,邏輯芯片市場預計達到2000億美元,約占市場總規模的30%。

日美曾經的“半導體戰爭”

日本在全球半導體產業中曾有段“輝煌”的歷史。世界半導體貿易統計組織的數據顯示,20世紀80年代,日本在全球半導體產業鏈中的份額約為50%,但隨後日本的影響力逐年下降,近年來被中國、韓國、美國等趕超,全球市場份額已下滑至疫情前的10%左右。2021年,美國公司擁有全球半導體市場的最大份額,達到46%。

日本國內不缺半導體相關企業。據日媒粗略統計,日本全國有84傢半導體相關企業,數量為全球之最。但目前日本企業僅負責生產半導體產業鏈中的低附加值產品,64%的半導體產品需要依賴進口。

中國社科院日本研究所副研究員田正告訴第一財經記者,早在上世紀70年代中後期,日本的高科技產業,特別是半導體產業,就呈現出迅速發展的勢頭,日本半導體產品開始擠占美國市場,導致美國對日貿易逆差不斷加大,日本與美國之間圍繞高科技產業的發展出現激烈摩擦,突出體現在半導體產業領域,“美媒當時甚至以‘日美半導體戰爭’來形容”。

“盡管日本政府采取些許緩和措施,但日美在半導體領域的摩擦並沒有明顯改善,最終還是以兩國通過協商簽訂《日美半導體協議》(下稱‘協議’)來解決。”田正說。

上述協議對日本在半導體領域的發展提出諸多限制“條件”:比如要求日本增加從美國進口半導體產品;要求日本減少對美國的半導體產品出口;要求日本強化知識產權保護等。

“在遭遇 《日美半導體協議》的消極影響背景下,日本相關企業仍在上世紀80年代後期的世界市場上保持優勢。”田正說,“事實上,日本企業在半導體產業領域喪失主導地位主要發生在上世紀90年代中期以後,此時韓國和中國臺灣地區的半導體企業‘後來居上’,取代日本半導體企業的優勢地位。”

田正認為,正是協議的簽訂及執行,對日本半導體產業的發展產生深遠影響,導致日本半導體產業在20世紀90年代後期由盛到衰。

他舉例說,比如協議中規定的數值目標影響市場的公平競爭,抑制日本高科技企業發展。根據協議的要求,美國半導體產品當時要在日本市場中所占比重必須達到20%。“為達成這一目標,日本高科技企業在生產過程中不斷增加對美國半導體產品的使用,甚至出現鼓勵優先使用美國這一競爭對手生產的半導體產品的情況。”他說。

此外,協議還沖擊日本高科技企業的設備投資,影響其設備投資的連續性,進而影響半導體產品的升級換代,導致日本高科技企業錯過世界半導體市場需求轉變的窗口期,致使產品開發落後於競爭對手。

美日各打什麼算盤?

近來,在全球半導體發展熱潮下,日本政府也在加快佈局,追趕在半導體領域“失去的三十年”。

去年6月初,日本政府宣佈加強半導體設計、研發與生產的新戰略,將與海外的代工廠合作興建新廠,重振日本半導體產業。新戰略也涵蓋數據匯集中心,欲將日本打造成半導體產業在“亞洲的核心基地”。

第一財經記者在日本經產省(METI)網站上看到,日本政府將未來的半導體發展視為與確保糧食、水資源安全同等重要的“國傢項目”(national project)。時任經濟產業大臣梶山弘志表示,半導體在內的科技產業與民生息息相關,不隻是民間企業或單一產業,而是須傾國傢之力推動的計劃項目。日本政府將尋求對那些被認為對支持全球供應鏈具有戰略重要性的芯片工廠進行“大幅度改造”。

對於此次合作,田正告訴第一財經,日本國內對現在的日美合作也頗有疑慮,“雙方能夠合作,一方面是因為日本如今在半導體領域已無法再對美國構成威脅,另一方面也證明美國受限於國際分工和本國能力,無法獨立完成半導體方面的研發和生產。日美雙方是否能夠將協議轉化為雙方共贏、富有成效的結果,還需觀望。”

確保美國在半導體領域的強勢地位,一直是當前美國拜登政府政策議程的核心。自去年1月就任總統以來,拜登一直優先考慮美國在半導體行業的競爭力和安全性。去年6月發佈的全面供應鏈評估,就提出美國要在全球半導體價值鏈中同時實現“領導力”和“韌性”的願景。

就領導力而言,田正解釋說,由於國際分工,美國一直壟斷著半導體的研發、設計和工藝技術,即占據價值鏈的高端;對於價值鏈的中低端,近年來,美國政府也加大招商引資的力度,吸引半導體企業到美國來投產生產。迄今,臺積電、英特爾、三星、格芯以及德州儀器等均承諾在美(新增)設廠。比如,2020年5月,臺積電宣佈投資120億美元在美國建設12英寸晶圓廠,預計2024年投產5納米芯片,月產能2萬片,該計劃正在進行中。2021年9月,英特爾宣佈在美國亞利桑那州投資200億美元建設的兩座晶圓制造廠破土動工。英特爾最新的投資計劃或將高達1000億美元,共在美建設8傢制造工廠。

就在7月28日,美國國會在幾經博弈後通過旨在為美國芯片制造業提供520億美元補貼的《芯片與科學法案》。此前,美國國內一眾半導體企業給拜登施壓,正在美國投資建廠的英特爾、臺積電和三星都在大力遊說盡快通過該法案,期待為新工廠提供部分資金。這些芯片制造商紛紛“要挾”道,如果未能通過該法案將導致工廠延遲開工。

對此,中國商務部發言人在例行記者會上回應稱,法案對美本土芯片產業提供巨額補貼,是典型的差異化產業扶持政策。部分條款限制有關企業在華正常經貿與投資活動,將會對全球半導體供應鏈造成扭曲,對國際貿易造成擾亂。中方對此高度關註。美方法案的實施應符合世貿組織相關規則,符合公開、透明、非歧視的原則,有利於維護全球產業鏈供應鏈安全穩定,避免碎片化。中方將繼續關註法案的進展和實施情況,必要時采取有力措施維護自身合法權益。

世界半導體貿易統計組織的數據顯示,分區域來看,亞太地區(除日本)今年的半導體市場預計將增長13.9%;美洲預計增長22.6%;歐洲增長20.8%;日本增長12.6%。


相關推薦

2023-01-27

常它將其設計授權給合作夥伴。Koike說,日本公司的長期目標是在2020年代末的某個時候實現2納米的大規模生產,這項努力是日本和美國私營公司合作的一部分,日本政府的經濟產業部提供部分資金。集團希望在2025至2027財年之間

2022-11-16

新發佈的驍龍8代Gen2完全采用臺積電的高效4納米工藝制造。高通很可能是在性能方面尋求臺灣晶圓制造廠臺積電的優勢技術,之前在三星4納米節點上大規模生產的驍龍8代中,過熱和降頻問題成群結隊出現。對於2023年,一份報告

2024-05-06

北美技術論壇,發佈其最新半導體制程技術A16(1.6nm)、下一代先進封裝和3D芯片技術等6大半導體技術創新,引發業界關註。在全球發展人工智能(AI)的熱潮之下,臺積電憑借其領先的芯片技術、穩定擴增的產能,成為英偉達

2023-01-27

發和創新基礎設施來支持大規模的能力建設,促進尖端和下一代半導體技術的發展。Chip Joint Undertaking將匯集來自歐盟的資源,包括 Horizon Europe 和 Digital Europe 計劃、與現有聯盟計劃相關的成員國和第三方國傢和地區,以及私營部

2023-05-12

半導體能力,這可能是芯片行業最大膽的賭註。Rapidus 的目標是在行業領導者臺積電和三星之後僅兩年即可量產 2 納米芯片。從長遠來看,芯片行業的領導地位,或制造最先進幾何形狀半導體的能力,多年來一直集中在三傢公司

2022-09-14

制造技術之一,公司代表向臺灣媒體表示,它將繼續通過下一代技術引領全球半導體行業。臺積電還將在2024年收購ASML的高NA EUV芯片制造機。這些細節是由臺積電負責研發和技術的高級副總裁Y.J. Mii博士分享的,由聯合新聞(UDN

2023-12-02

電一貫不評論單一客戶訊息,但業界普遍認為,臺積電3納米客戶群持續擴大,再現排隊潮,持續反映技術領先者的紅利,生產經濟規模的優勢將反映在2024年至2025年業績上。外傳臺積電3納米首發客戶蘋果包下首批產能至少一年

2023-02-24

第二傢工廠預計將於20年代末完工,並可能采用更先進的5納米或10納米制造工藝。臺積電在日本的第一傢工廠也位於熊本,預計將於今年9月完工,2024年底投產。據報道,臺積電正在就政府補貼和客戶投資進行談判,細節預計將

2022-07-21

UV 工具已達到生產成熟度,工具可用性達到大批量生產的目標目標,日常操作的輸出功率超過 250 瓦。據臺積電在早前的技術大會上介紹,統計全球已經安裝的EUV光刻機系統中,他們擁有其中的 55%。他們進一步指出,公司將在 20

2024-02-05

2023年10月,日本光刻機大廠佳能(Canon)正式發佈基於納米壓印技術(NIL)的芯片制造設備FPA-1200NZ2C,預計為小型半導體制造商在生產先進制程芯片方面開辟出一條全新的路徑。近日,佳能負責新型納米壓印設備開發的高管武石

2024-03-25

的匝道。我們通過新興業務計劃與英特爾代工廠合作,使下一代創新者能夠將他們的想法付諸實踐,並定義下一波突破性創新。"

2024-02-24

三星代工廠最新的全柵極(GAA)工藝技術上開發的優化的下一代ARMCortex-XCPU"。智能手機芯片組行業觀察人士認為,三星 3 納米 GAA 工藝由於據稱的良品率問題,沒有達到客戶的預期。而臺積電似乎在這一領域取得勝利。

2024-02-28

三星的3nmGAA工藝可能並不成功,但它打算用更先進的下一代2nm技術來彌補,據說該技術將於明年投入量產。為在與其代工競爭對手臺積電的競爭中取得優勢,一份新的報告指出,這傢韓國巨頭正在引入背面電源(BSPDN)技術,該

2023-04-15

者將於德國建設的晶圓工廠提供資金,據報道,該工廠的目標是28納米的特殊工藝技術。盡管傳統節點無疑將解決制約德國汽車業的芯片供應缺口。臺積電此前表示,其海外設施可能會在五年或更晚的時間內占其 28 納米及更先進